OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [misc/] [charrom.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      charrom
20
-- File:        charrom.vhd
21
-- Author:      Marcus Hellqvist
22
-- Description: Character ROM for video controller
23
-----------------------------------------------------------------------------
24
library IEEE;
25
use IEEE.std_logic_1164.all;
26
library grlib;
27
use grlib.stdlib.all;
28
 
29
entity charrom is
30
  port(
31
    clk         : in std_ulogic;
32
    addr        : in std_logic_vector(11 downto 0);
33
    data        : out std_logic_vector(7 downto 0)
34
    );
35
end entity;
36
 
37
architecture rtl of charrom is
38
 
39
signal romdata  : std_logic_vector(7 downto 0);
40
signal romaddr  : std_logic_vector(11 downto 0);
41
 
42
begin
43
 data <= romdata;
44
 
45
 p0: process(clk)
46
 begin
47
   if rising_edge(clk) then
48
     romaddr <= addr;
49
   end if;
50
 end process;
51
 
52
 p1: process(romaddr)
53
 begin
54
 case conv_integer(romaddr) is
55
        when 16#000# => romdata <= X"00"; -- 
56
        when 16#100# => romdata <= X"00"; -- 
57
        when 16#200# => romdata <= X"00"; -- 
58
        when 16#300# => romdata <= X"00"; -- 
59
        when 16#400# => romdata <= X"00"; -- 
60
        when 16#500# => romdata <= X"00"; -- 
61
        when 16#600# => romdata <= X"00"; -- 
62
        when 16#700# => romdata <= X"00"; -- 
63
        when 16#800# => romdata <= X"00"; -- 
64
        when 16#900# => romdata <= X"00"; -- 
65
        when 16#a00# => romdata <= X"00"; -- 
66
        when 16#b00# => romdata <= X"00"; -- 
67
        when 16#c00# => romdata <= X"00"; -- 
68
        when 16#020# => romdata <= X"00"; --  
69
        when 16#120# => romdata <= X"00"; --  
70
        when 16#220# => romdata <= X"00"; --  
71
        when 16#320# => romdata <= X"00"; --  
72
        when 16#420# => romdata <= X"00"; --  
73
        when 16#520# => romdata <= X"00"; --  
74
        when 16#620# => romdata <= X"00"; --  
75
        when 16#720# => romdata <= X"00"; --  
76
        when 16#820# => romdata <= X"00"; --  
77
        when 16#920# => romdata <= X"00"; --  
78
        when 16#a20# => romdata <= X"00"; --  
79
        when 16#b20# => romdata <= X"00"; --  
80
        when 16#c20# => romdata <= X"00"; --  
81
        when 16#021# => romdata <= X"00"; -- !
82
        when 16#121# => romdata <= X"00"; -- !
83
        when 16#221# => romdata <= X"10"; -- !
84
        when 16#321# => romdata <= X"10"; -- !
85
        when 16#421# => romdata <= X"10"; -- !
86
        when 16#521# => romdata <= X"10"; -- !
87
        when 16#621# => romdata <= X"10"; -- !
88
        when 16#721# => romdata <= X"10"; -- !
89
        when 16#821# => romdata <= X"10"; -- !
90
        when 16#921# => romdata <= X"00"; -- !
91
        when 16#a21# => romdata <= X"10"; -- !
92
        when 16#b21# => romdata <= X"00"; -- !
93
        when 16#c21# => romdata <= X"00"; -- !
94
        when 16#022# => romdata <= X"00"; -- "
95
        when 16#122# => romdata <= X"00"; -- "
96
        when 16#222# => romdata <= X"24"; -- "
97
        when 16#322# => romdata <= X"24"; -- "
98
        when 16#422# => romdata <= X"24"; -- "
99
        when 16#522# => romdata <= X"00"; -- "
100
        when 16#622# => romdata <= X"00"; -- "
101
        when 16#722# => romdata <= X"00"; -- "
102
        when 16#822# => romdata <= X"00"; -- "
103
        when 16#922# => romdata <= X"00"; -- "
104
        when 16#a22# => romdata <= X"00"; -- "
105
        when 16#b22# => romdata <= X"00"; -- "
106
        when 16#c22# => romdata <= X"00"; -- "
107
        when 16#023# => romdata <= X"00"; -- #
108
        when 16#123# => romdata <= X"00"; -- #
109
        when 16#223# => romdata <= X"00"; -- #
110
        when 16#323# => romdata <= X"24"; -- #
111
        when 16#423# => romdata <= X"24"; -- #
112
        when 16#523# => romdata <= X"7e"; -- #
113
        when 16#623# => romdata <= X"24"; -- #
114
        when 16#723# => romdata <= X"7e"; -- #
115
        when 16#823# => romdata <= X"24"; -- #
116
        when 16#923# => romdata <= X"24"; -- #
117
        when 16#a23# => romdata <= X"00"; -- #
118
        when 16#b23# => romdata <= X"00"; -- #
119
        when 16#c23# => romdata <= X"00"; -- #
120
        when 16#024# => romdata <= X"00"; -- $
121
        when 16#124# => romdata <= X"00"; -- $
122
        when 16#224# => romdata <= X"10"; -- $
123
        when 16#324# => romdata <= X"3c"; -- $
124
        when 16#424# => romdata <= X"50"; -- $
125
        when 16#524# => romdata <= X"50"; -- $
126
        when 16#624# => romdata <= X"38"; -- $
127
        when 16#724# => romdata <= X"14"; -- $
128
        when 16#824# => romdata <= X"14"; -- $
129
        when 16#924# => romdata <= X"78"; -- $
130
        when 16#a24# => romdata <= X"10"; -- $
131
        when 16#b24# => romdata <= X"00"; -- $
132
        when 16#c24# => romdata <= X"00"; -- $
133
        when 16#025# => romdata <= X"00"; -- %
134
        when 16#125# => romdata <= X"00"; -- %
135
        when 16#225# => romdata <= X"22"; -- %
136
        when 16#325# => romdata <= X"52"; -- %
137
        when 16#425# => romdata <= X"24"; -- %
138
        when 16#525# => romdata <= X"08"; -- %
139
        when 16#625# => romdata <= X"08"; -- %
140
        when 16#725# => romdata <= X"10"; -- %
141
        when 16#825# => romdata <= X"24"; -- %
142
        when 16#925# => romdata <= X"2a"; -- %
143
        when 16#a25# => romdata <= X"44"; -- %
144
        when 16#b25# => romdata <= X"00"; -- %
145
        when 16#c25# => romdata <= X"00"; -- %
146
        when 16#026# => romdata <= X"00"; -- &
147
        when 16#126# => romdata <= X"00"; -- &
148
        when 16#226# => romdata <= X"00"; -- &
149
        when 16#326# => romdata <= X"00"; -- &
150
        when 16#426# => romdata <= X"30"; -- &
151
        when 16#526# => romdata <= X"48"; -- &
152
        when 16#626# => romdata <= X"48"; -- &
153
        when 16#726# => romdata <= X"30"; -- &
154
        when 16#826# => romdata <= X"4a"; -- &
155
        when 16#926# => romdata <= X"44"; -- &
156
        when 16#a26# => romdata <= X"3a"; -- &
157
        when 16#b26# => romdata <= X"00"; -- &
158
        when 16#c26# => romdata <= X"00"; -- &
159
        when 16#027# => romdata <= X"00"; -- '
160
        when 16#127# => romdata <= X"00"; -- '
161
        when 16#227# => romdata <= X"10"; -- '
162
        when 16#327# => romdata <= X"10"; -- '
163
        when 16#427# => romdata <= X"10"; -- '
164
        when 16#527# => romdata <= X"00"; -- '
165
        when 16#627# => romdata <= X"00"; -- '
166
        when 16#727# => romdata <= X"00"; -- '
167
        when 16#827# => romdata <= X"00"; -- '
168
        when 16#927# => romdata <= X"00"; -- '
169
        when 16#a27# => romdata <= X"00"; -- '
170
        when 16#b27# => romdata <= X"00"; -- '
171
        when 16#c27# => romdata <= X"00"; -- '
172
        when 16#028# => romdata <= X"00"; -- (
173
        when 16#128# => romdata <= X"00"; -- (
174
        when 16#228# => romdata <= X"04"; -- (
175
        when 16#328# => romdata <= X"08"; -- (
176
        when 16#428# => romdata <= X"08"; -- (
177
        when 16#528# => romdata <= X"10"; -- (
178
        when 16#628# => romdata <= X"10"; -- (
179
        when 16#728# => romdata <= X"10"; -- (
180
        when 16#828# => romdata <= X"08"; -- (
181
        when 16#928# => romdata <= X"08"; -- (
182
        when 16#a28# => romdata <= X"04"; -- (
183
        when 16#b28# => romdata <= X"00"; -- (
184
        when 16#c28# => romdata <= X"00"; -- (
185
        when 16#029# => romdata <= X"00"; -- )
186
        when 16#129# => romdata <= X"00"; -- )
187
        when 16#229# => romdata <= X"20"; -- )
188
        when 16#329# => romdata <= X"10"; -- )
189
        when 16#429# => romdata <= X"10"; -- )
190
        when 16#529# => romdata <= X"08"; -- )
191
        when 16#629# => romdata <= X"08"; -- )
192
        when 16#729# => romdata <= X"08"; -- )
193
        when 16#829# => romdata <= X"10"; -- )
194
        when 16#929# => romdata <= X"10"; -- )
195
        when 16#a29# => romdata <= X"20"; -- )
196
        when 16#b29# => romdata <= X"00"; -- )
197
        when 16#c29# => romdata <= X"00"; -- )
198
        when 16#02a# => romdata <= X"00"; -- *
199
        when 16#12a# => romdata <= X"00"; -- *
200
        when 16#22a# => romdata <= X"24"; -- *
201
        when 16#32a# => romdata <= X"18"; -- *
202
        when 16#42a# => romdata <= X"7e"; -- *
203
        when 16#52a# => romdata <= X"18"; -- *
204
        when 16#62a# => romdata <= X"24"; -- *
205
        when 16#72a# => romdata <= X"00"; -- *
206
        when 16#82a# => romdata <= X"00"; -- *
207
        when 16#92a# => romdata <= X"00"; -- *
208
        when 16#a2a# => romdata <= X"00"; -- *
209
        when 16#b2a# => romdata <= X"00"; -- *
210
        when 16#c2a# => romdata <= X"00"; -- *
211
        when 16#02b# => romdata <= X"00"; -- +
212
        when 16#12b# => romdata <= X"00"; -- +
213
        when 16#22b# => romdata <= X"00"; -- +
214
        when 16#32b# => romdata <= X"00"; -- +
215
        when 16#42b# => romdata <= X"10"; -- +
216
        when 16#52b# => romdata <= X"10"; -- +
217
        when 16#62b# => romdata <= X"7c"; -- +
218
        when 16#72b# => romdata <= X"10"; -- +
219
        when 16#82b# => romdata <= X"10"; -- +
220
        when 16#92b# => romdata <= X"00"; -- +
221
        when 16#a2b# => romdata <= X"00"; -- +
222
        when 16#b2b# => romdata <= X"00"; -- +
223
        when 16#c2b# => romdata <= X"00"; -- +
224
        when 16#02c# => romdata <= X"00"; -- ,
225
        when 16#12c# => romdata <= X"00"; -- ,
226
        when 16#22c# => romdata <= X"00"; -- ,
227
        when 16#32c# => romdata <= X"00"; -- ,
228
        when 16#42c# => romdata <= X"00"; -- ,
229
        when 16#52c# => romdata <= X"00"; -- ,
230
        when 16#62c# => romdata <= X"00"; -- ,
231
        when 16#72c# => romdata <= X"00"; -- ,
232
        when 16#82c# => romdata <= X"00"; -- ,
233
        when 16#92c# => romdata <= X"38"; -- ,
234
        when 16#a2c# => romdata <= X"30"; -- ,
235
        when 16#b2c# => romdata <= X"40"; -- ,
236
        when 16#c2c# => romdata <= X"00"; -- ,
237
        when 16#02d# => romdata <= X"00"; -- -
238
        when 16#12d# => romdata <= X"00"; -- -
239
        when 16#22d# => romdata <= X"00"; -- -
240
        when 16#32d# => romdata <= X"00"; -- -
241
        when 16#42d# => romdata <= X"00"; -- -
242
        when 16#52d# => romdata <= X"00"; -- -
243
        when 16#62d# => romdata <= X"7c"; -- -
244
        when 16#72d# => romdata <= X"00"; -- -
245
        when 16#82d# => romdata <= X"00"; -- -
246
        when 16#92d# => romdata <= X"00"; -- -
247
        when 16#a2d# => romdata <= X"00"; -- -
248
        when 16#b2d# => romdata <= X"00"; -- -
249
        when 16#c2d# => romdata <= X"00"; -- -
250
        when 16#02e# => romdata <= X"00"; -- .
251
        when 16#12e# => romdata <= X"00"; -- .
252
        when 16#22e# => romdata <= X"00"; -- .
253
        when 16#32e# => romdata <= X"00"; -- .
254
        when 16#42e# => romdata <= X"00"; -- .
255
        when 16#52e# => romdata <= X"00"; -- .
256
        when 16#62e# => romdata <= X"00"; -- .
257
        when 16#72e# => romdata <= X"00"; -- .
258
        when 16#82e# => romdata <= X"00"; -- .
259
        when 16#92e# => romdata <= X"10"; -- .
260
        when 16#a2e# => romdata <= X"38"; -- .
261
        when 16#b2e# => romdata <= X"10"; -- .
262
        when 16#c2e# => romdata <= X"00"; -- .
263
        when 16#02f# => romdata <= X"00"; -- /
264
        when 16#12f# => romdata <= X"00"; -- /
265
        when 16#22f# => romdata <= X"02"; -- /
266
        when 16#32f# => romdata <= X"02"; -- /
267
        when 16#42f# => romdata <= X"04"; -- /
268
        when 16#52f# => romdata <= X"08"; -- /
269
        when 16#62f# => romdata <= X"10"; -- /
270
        when 16#72f# => romdata <= X"20"; -- /
271
        when 16#82f# => romdata <= X"40"; -- /
272
        when 16#92f# => romdata <= X"80"; -- /
273
        when 16#a2f# => romdata <= X"80"; -- /
274
        when 16#b2f# => romdata <= X"00"; -- /
275
        when 16#c2f# => romdata <= X"00"; -- /
276
        when 16#030# => romdata <= X"00"; -- 0
277
        when 16#130# => romdata <= X"00"; -- 0
278
        when 16#230# => romdata <= X"18"; -- 0
279
        when 16#330# => romdata <= X"24"; -- 0
280
        when 16#430# => romdata <= X"42"; -- 0
281
        when 16#530# => romdata <= X"42"; -- 0
282
        when 16#630# => romdata <= X"42"; -- 0
283
        when 16#730# => romdata <= X"42"; -- 0
284
        when 16#830# => romdata <= X"42"; -- 0
285
        when 16#930# => romdata <= X"24"; -- 0
286
        when 16#a30# => romdata <= X"18"; -- 0
287
        when 16#b30# => romdata <= X"00"; -- 0
288
        when 16#c30# => romdata <= X"00"; -- 0
289
        when 16#031# => romdata <= X"00"; -- 1
290
        when 16#131# => romdata <= X"00"; -- 1
291
        when 16#231# => romdata <= X"10"; -- 1
292
        when 16#331# => romdata <= X"30"; -- 1
293
        when 16#431# => romdata <= X"50"; -- 1
294
        when 16#531# => romdata <= X"10"; -- 1
295
        when 16#631# => romdata <= X"10"; -- 1
296
        when 16#731# => romdata <= X"10"; -- 1
297
        when 16#831# => romdata <= X"10"; -- 1
298
        when 16#931# => romdata <= X"10"; -- 1
299
        when 16#a31# => romdata <= X"7c"; -- 1
300
        when 16#b31# => romdata <= X"00"; -- 1
301
        when 16#c31# => romdata <= X"00"; -- 1
302
        when 16#032# => romdata <= X"00"; -- 2
303
        when 16#132# => romdata <= X"00"; -- 2
304
        when 16#232# => romdata <= X"3c"; -- 2
305
        when 16#332# => romdata <= X"42"; -- 2
306
        when 16#432# => romdata <= X"42"; -- 2
307
        when 16#532# => romdata <= X"02"; -- 2
308
        when 16#632# => romdata <= X"04"; -- 2
309
        when 16#732# => romdata <= X"18"; -- 2
310
        when 16#832# => romdata <= X"20"; -- 2
311
        when 16#932# => romdata <= X"40"; -- 2
312
        when 16#a32# => romdata <= X"7e"; -- 2
313
        when 16#b32# => romdata <= X"00"; -- 2
314
        when 16#c32# => romdata <= X"00"; -- 2
315
        when 16#033# => romdata <= X"00"; -- 3
316
        when 16#133# => romdata <= X"00"; -- 3
317
        when 16#233# => romdata <= X"7e"; -- 3
318
        when 16#333# => romdata <= X"02"; -- 3
319
        when 16#433# => romdata <= X"04"; -- 3
320
        when 16#533# => romdata <= X"08"; -- 3
321
        when 16#633# => romdata <= X"1c"; -- 3
322
        when 16#733# => romdata <= X"02"; -- 3
323
        when 16#833# => romdata <= X"02"; -- 3
324
        when 16#933# => romdata <= X"42"; -- 3
325
        when 16#a33# => romdata <= X"3c"; -- 3
326
        when 16#b33# => romdata <= X"00"; -- 3
327
        when 16#c33# => romdata <= X"00"; -- 3
328
        when 16#034# => romdata <= X"00"; -- 4
329
        when 16#134# => romdata <= X"00"; -- 4
330
        when 16#234# => romdata <= X"04"; -- 4
331
        when 16#334# => romdata <= X"0c"; -- 4
332
        when 16#434# => romdata <= X"14"; -- 4
333
        when 16#534# => romdata <= X"24"; -- 4
334
        when 16#634# => romdata <= X"44"; -- 4
335
        when 16#734# => romdata <= X"44"; -- 4
336
        when 16#834# => romdata <= X"7e"; -- 4
337
        when 16#934# => romdata <= X"04"; -- 4
338
        when 16#a34# => romdata <= X"04"; -- 4
339
        when 16#b34# => romdata <= X"00"; -- 4
340
        when 16#c34# => romdata <= X"00"; -- 4
341
        when 16#035# => romdata <= X"00"; -- 5
342
        when 16#135# => romdata <= X"00"; -- 5
343
        when 16#235# => romdata <= X"7e"; -- 5
344
        when 16#335# => romdata <= X"40"; -- 5
345
        when 16#435# => romdata <= X"40"; -- 5
346
        when 16#535# => romdata <= X"5c"; -- 5
347
        when 16#635# => romdata <= X"62"; -- 5
348
        when 16#735# => romdata <= X"02"; -- 5
349
        when 16#835# => romdata <= X"02"; -- 5
350
        when 16#935# => romdata <= X"42"; -- 5
351
        when 16#a35# => romdata <= X"3c"; -- 5
352
        when 16#b35# => romdata <= X"00"; -- 5
353
        when 16#c35# => romdata <= X"00"; -- 5
354
        when 16#036# => romdata <= X"00"; -- 6
355
        when 16#136# => romdata <= X"00"; -- 6
356
        when 16#236# => romdata <= X"1c"; -- 6
357
        when 16#336# => romdata <= X"20"; -- 6
358
        when 16#436# => romdata <= X"40"; -- 6
359
        when 16#536# => romdata <= X"40"; -- 6
360
        when 16#636# => romdata <= X"5c"; -- 6
361
        when 16#736# => romdata <= X"62"; -- 6
362
        when 16#836# => romdata <= X"42"; -- 6
363
        when 16#936# => romdata <= X"42"; -- 6
364
        when 16#a36# => romdata <= X"3c"; -- 6
365
        when 16#b36# => romdata <= X"00"; -- 6
366
        when 16#c36# => romdata <= X"00"; -- 6
367
        when 16#037# => romdata <= X"00"; -- 7
368
        when 16#137# => romdata <= X"00"; -- 7
369
        when 16#237# => romdata <= X"7e"; -- 7
370
        when 16#337# => romdata <= X"02"; -- 7
371
        when 16#437# => romdata <= X"04"; -- 7
372
        when 16#537# => romdata <= X"08"; -- 7
373
        when 16#637# => romdata <= X"08"; -- 7
374
        when 16#737# => romdata <= X"10"; -- 7
375
        when 16#837# => romdata <= X"10"; -- 7
376
        when 16#937# => romdata <= X"20"; -- 7
377
        when 16#a37# => romdata <= X"20"; -- 7
378
        when 16#b37# => romdata <= X"00"; -- 7
379
        when 16#c37# => romdata <= X"00"; -- 7
380
        when 16#038# => romdata <= X"00"; -- 8
381
        when 16#138# => romdata <= X"00"; -- 8
382
        when 16#238# => romdata <= X"3c"; -- 8
383
        when 16#338# => romdata <= X"42"; -- 8
384
        when 16#438# => romdata <= X"42"; -- 8
385
        when 16#538# => romdata <= X"42"; -- 8
386
        when 16#638# => romdata <= X"3c"; -- 8
387
        when 16#738# => romdata <= X"42"; -- 8
388
        when 16#838# => romdata <= X"42"; -- 8
389
        when 16#938# => romdata <= X"42"; -- 8
390
        when 16#a38# => romdata <= X"3c"; -- 8
391
        when 16#b38# => romdata <= X"00"; -- 8
392
        when 16#c38# => romdata <= X"00"; -- 8
393
        when 16#039# => romdata <= X"00"; -- 9
394
        when 16#139# => romdata <= X"00"; -- 9
395
        when 16#239# => romdata <= X"3c"; -- 9
396
        when 16#339# => romdata <= X"42"; -- 9
397
        when 16#439# => romdata <= X"42"; -- 9
398
        when 16#539# => romdata <= X"46"; -- 9
399
        when 16#639# => romdata <= X"3a"; -- 9
400
        when 16#739# => romdata <= X"02"; -- 9
401
        when 16#839# => romdata <= X"02"; -- 9
402
        when 16#939# => romdata <= X"04"; -- 9
403
        when 16#a39# => romdata <= X"38"; -- 9
404
        when 16#b39# => romdata <= X"00"; -- 9
405
        when 16#c39# => romdata <= X"00"; -- 9
406
        when 16#03a# => romdata <= X"00"; -- :
407
        when 16#13a# => romdata <= X"00"; -- :
408
        when 16#23a# => romdata <= X"00"; -- :
409
        when 16#33a# => romdata <= X"00"; -- :
410
        when 16#43a# => romdata <= X"10"; -- :
411
        when 16#53a# => romdata <= X"38"; -- :
412
        when 16#63a# => romdata <= X"10"; -- :
413
        when 16#73a# => romdata <= X"00"; -- :
414
        when 16#83a# => romdata <= X"00"; -- :
415
        when 16#93a# => romdata <= X"10"; -- :
416
        when 16#a3a# => romdata <= X"38"; -- :
417
        when 16#b3a# => romdata <= X"10"; -- :
418
        when 16#c3a# => romdata <= X"00"; -- :
419
        when 16#03b# => romdata <= X"00"; -- ;
420
        when 16#13b# => romdata <= X"00"; -- ;
421
        when 16#23b# => romdata <= X"00"; -- ;
422
        when 16#33b# => romdata <= X"00"; -- ;
423
        when 16#43b# => romdata <= X"10"; -- ;
424
        when 16#53b# => romdata <= X"38"; -- ;
425
        when 16#63b# => romdata <= X"10"; -- ;
426
        when 16#73b# => romdata <= X"00"; -- ;
427
        when 16#83b# => romdata <= X"00"; -- ;
428
        when 16#93b# => romdata <= X"38"; -- ;
429
        when 16#a3b# => romdata <= X"30"; -- ;
430
        when 16#b3b# => romdata <= X"40"; -- ;
431
        when 16#c3b# => romdata <= X"00"; -- ;
432
        when 16#03c# => romdata <= X"00"; -- <
433
        when 16#13c# => romdata <= X"00"; -- <
434
        when 16#23c# => romdata <= X"02"; -- <
435
        when 16#33c# => romdata <= X"04"; -- <
436
        when 16#43c# => romdata <= X"08"; -- <
437
        when 16#53c# => romdata <= X"10"; -- <
438
        when 16#63c# => romdata <= X"20"; -- <
439
        when 16#73c# => romdata <= X"10"; -- <
440
        when 16#83c# => romdata <= X"08"; -- <
441
        when 16#93c# => romdata <= X"04"; -- <
442
        when 16#a3c# => romdata <= X"02"; -- <
443
        when 16#b3c# => romdata <= X"00"; -- <
444
        when 16#c3c# => romdata <= X"00"; -- <
445
        when 16#03d# => romdata <= X"00"; -- =
446
        when 16#13d# => romdata <= X"00"; -- =
447
        when 16#23d# => romdata <= X"00"; -- =
448
        when 16#33d# => romdata <= X"00"; -- =
449
        when 16#43d# => romdata <= X"00"; -- =
450
        when 16#53d# => romdata <= X"7e"; -- =
451
        when 16#63d# => romdata <= X"00"; -- =
452
        when 16#73d# => romdata <= X"00"; -- =
453
        when 16#83d# => romdata <= X"7e"; -- =
454
        when 16#93d# => romdata <= X"00"; -- =
455
        when 16#a3d# => romdata <= X"00"; -- =
456
        when 16#b3d# => romdata <= X"00"; -- =
457
        when 16#c3d# => romdata <= X"00"; -- =
458
        when 16#03e# => romdata <= X"00"; -- >
459
        when 16#13e# => romdata <= X"00"; -- >
460
        when 16#23e# => romdata <= X"40"; -- >
461
        when 16#33e# => romdata <= X"20"; -- >
462
        when 16#43e# => romdata <= X"10"; -- >
463
        when 16#53e# => romdata <= X"08"; -- >
464
        when 16#63e# => romdata <= X"04"; -- >
465
        when 16#73e# => romdata <= X"08"; -- >
466
        when 16#83e# => romdata <= X"10"; -- >
467
        when 16#93e# => romdata <= X"20"; -- >
468
        when 16#a3e# => romdata <= X"40"; -- >
469
        when 16#b3e# => romdata <= X"00"; -- >
470
        when 16#c3e# => romdata <= X"00"; -- >
471
        when 16#03f# => romdata <= X"00"; -- ?
472
        when 16#13f# => romdata <= X"00"; -- ?
473
        when 16#23f# => romdata <= X"3c"; -- ?
474
        when 16#33f# => romdata <= X"42"; -- ?
475
        when 16#43f# => romdata <= X"42"; -- ?
476
        when 16#53f# => romdata <= X"02"; -- ?
477
        when 16#63f# => romdata <= X"04"; -- ?
478
        when 16#73f# => romdata <= X"08"; -- ?
479
        when 16#83f# => romdata <= X"08"; -- ?
480
        when 16#93f# => romdata <= X"00"; -- ?
481
        when 16#a3f# => romdata <= X"08"; -- ?
482
        when 16#b3f# => romdata <= X"00"; -- ?
483
        when 16#c3f# => romdata <= X"00"; -- ?
484
        when 16#040# => romdata <= X"00"; -- @
485
        when 16#140# => romdata <= X"00"; -- @
486
        when 16#240# => romdata <= X"3c"; -- @
487
        when 16#340# => romdata <= X"42"; -- @
488
        when 16#440# => romdata <= X"42"; -- @
489
        when 16#540# => romdata <= X"4e"; -- @
490
        when 16#640# => romdata <= X"52"; -- @
491
        when 16#740# => romdata <= X"56"; -- @
492
        when 16#840# => romdata <= X"4a"; -- @
493
        when 16#940# => romdata <= X"40"; -- @
494
        when 16#a40# => romdata <= X"3c"; -- @
495
        when 16#b40# => romdata <= X"00"; -- @
496
        when 16#c40# => romdata <= X"00"; -- @
497
        when 16#041# => romdata <= X"00"; -- A
498
        when 16#141# => romdata <= X"00"; -- A
499
        when 16#241# => romdata <= X"18"; -- A
500
        when 16#341# => romdata <= X"24"; -- A
501
        when 16#441# => romdata <= X"42"; -- A
502
        when 16#541# => romdata <= X"42"; -- A
503
        when 16#641# => romdata <= X"42"; -- A
504
        when 16#741# => romdata <= X"7e"; -- A
505
        when 16#841# => romdata <= X"42"; -- A
506
        when 16#941# => romdata <= X"42"; -- A
507
        when 16#a41# => romdata <= X"42"; -- A
508
        when 16#b41# => romdata <= X"00"; -- A
509
        when 16#c41# => romdata <= X"00"; -- A
510
        when 16#042# => romdata <= X"00"; -- B
511
        when 16#142# => romdata <= X"00"; -- B
512
        when 16#242# => romdata <= X"78"; -- B
513
        when 16#342# => romdata <= X"44"; -- B
514
        when 16#442# => romdata <= X"42"; -- B
515
        when 16#542# => romdata <= X"44"; -- B
516
        when 16#642# => romdata <= X"78"; -- B
517
        when 16#742# => romdata <= X"44"; -- B
518
        when 16#842# => romdata <= X"42"; -- B
519
        when 16#942# => romdata <= X"44"; -- B
520
        when 16#a42# => romdata <= X"78"; -- B
521
        when 16#b42# => romdata <= X"00"; -- B
522
        when 16#c42# => romdata <= X"00"; -- B
523
        when 16#043# => romdata <= X"00"; -- C
524
        when 16#143# => romdata <= X"00"; -- C
525
        when 16#243# => romdata <= X"3c"; -- C
526
        when 16#343# => romdata <= X"42"; -- C
527
        when 16#443# => romdata <= X"40"; -- C
528
        when 16#543# => romdata <= X"40"; -- C
529
        when 16#643# => romdata <= X"40"; -- C
530
        when 16#743# => romdata <= X"40"; -- C
531
        when 16#843# => romdata <= X"40"; -- C
532
        when 16#943# => romdata <= X"42"; -- C
533
        when 16#a43# => romdata <= X"3c"; -- C
534
        when 16#b43# => romdata <= X"00"; -- C
535
        when 16#c43# => romdata <= X"00"; -- C
536
        when 16#044# => romdata <= X"00"; -- D
537
        when 16#144# => romdata <= X"00"; -- D
538
        when 16#244# => romdata <= X"78"; -- D
539
        when 16#344# => romdata <= X"44"; -- D
540
        when 16#444# => romdata <= X"42"; -- D
541
        when 16#544# => romdata <= X"42"; -- D
542
        when 16#644# => romdata <= X"42"; -- D
543
        when 16#744# => romdata <= X"42"; -- D
544
        when 16#844# => romdata <= X"42"; -- D
545
        when 16#944# => romdata <= X"44"; -- D
546
        when 16#a44# => romdata <= X"78"; -- D
547
        when 16#b44# => romdata <= X"00"; -- D
548
        when 16#c44# => romdata <= X"00"; -- D
549
        when 16#045# => romdata <= X"00"; -- E
550
        when 16#145# => romdata <= X"00"; -- E
551
        when 16#245# => romdata <= X"7e"; -- E
552
        when 16#345# => romdata <= X"40"; -- E
553
        when 16#445# => romdata <= X"40"; -- E
554
        when 16#545# => romdata <= X"40"; -- E
555
        when 16#645# => romdata <= X"78"; -- E
556
        when 16#745# => romdata <= X"40"; -- E
557
        when 16#845# => romdata <= X"40"; -- E
558
        when 16#945# => romdata <= X"40"; -- E
559
        when 16#a45# => romdata <= X"7e"; -- E
560
        when 16#b45# => romdata <= X"00"; -- E
561
        when 16#c45# => romdata <= X"00"; -- E
562
        when 16#046# => romdata <= X"00"; -- F
563
        when 16#146# => romdata <= X"00"; -- F
564
        when 16#246# => romdata <= X"7e"; -- F
565
        when 16#346# => romdata <= X"40"; -- F
566
        when 16#446# => romdata <= X"40"; -- F
567
        when 16#546# => romdata <= X"40"; -- F
568
        when 16#646# => romdata <= X"78"; -- F
569
        when 16#746# => romdata <= X"40"; -- F
570
        when 16#846# => romdata <= X"40"; -- F
571
        when 16#946# => romdata <= X"40"; -- F
572
        when 16#a46# => romdata <= X"40"; -- F
573
        when 16#b46# => romdata <= X"00"; -- F
574
        when 16#c46# => romdata <= X"00"; -- F
575
        when 16#047# => romdata <= X"00"; -- G
576
        when 16#147# => romdata <= X"00"; -- G
577
        when 16#247# => romdata <= X"3c"; -- G
578
        when 16#347# => romdata <= X"42"; -- G
579
        when 16#447# => romdata <= X"40"; -- G
580
        when 16#547# => romdata <= X"40"; -- G
581
        when 16#647# => romdata <= X"40"; -- G
582
        when 16#747# => romdata <= X"4e"; -- G
583
        when 16#847# => romdata <= X"42"; -- G
584
        when 16#947# => romdata <= X"46"; -- G
585
        when 16#a47# => romdata <= X"3a"; -- G
586
        when 16#b47# => romdata <= X"00"; -- G
587
        when 16#c47# => romdata <= X"00"; -- G
588
        when 16#048# => romdata <= X"00"; -- H
589
        when 16#148# => romdata <= X"00"; -- H
590
        when 16#248# => romdata <= X"42"; -- H
591
        when 16#348# => romdata <= X"42"; -- H
592
        when 16#448# => romdata <= X"42"; -- H
593
        when 16#548# => romdata <= X"42"; -- H
594
        when 16#648# => romdata <= X"7e"; -- H
595
        when 16#748# => romdata <= X"42"; -- H
596
        when 16#848# => romdata <= X"42"; -- H
597
        when 16#948# => romdata <= X"42"; -- H
598
        when 16#a48# => romdata <= X"42"; -- H
599
        when 16#b48# => romdata <= X"00"; -- H
600
        when 16#c48# => romdata <= X"00"; -- H
601
        when 16#049# => romdata <= X"00"; -- I
602
        when 16#149# => romdata <= X"00"; -- I
603
        when 16#249# => romdata <= X"7c"; -- I
604
        when 16#349# => romdata <= X"10"; -- I
605
        when 16#449# => romdata <= X"10"; -- I
606
        when 16#549# => romdata <= X"10"; -- I
607
        when 16#649# => romdata <= X"10"; -- I
608
        when 16#749# => romdata <= X"10"; -- I
609
        when 16#849# => romdata <= X"10"; -- I
610
        when 16#949# => romdata <= X"10"; -- I
611
        when 16#a49# => romdata <= X"7c"; -- I
612
        when 16#b49# => romdata <= X"00"; -- I
613
        when 16#c49# => romdata <= X"00"; -- I
614
        when 16#04a# => romdata <= X"00"; -- J
615
        when 16#14a# => romdata <= X"00"; -- J
616
        when 16#24a# => romdata <= X"1f"; -- J
617
        when 16#34a# => romdata <= X"04"; -- J
618
        when 16#44a# => romdata <= X"04"; -- J
619
        when 16#54a# => romdata <= X"04"; -- J
620
        when 16#64a# => romdata <= X"04"; -- J
621
        when 16#74a# => romdata <= X"04"; -- J
622
        when 16#84a# => romdata <= X"04"; -- J
623
        when 16#94a# => romdata <= X"44"; -- J
624
        when 16#a4a# => romdata <= X"38"; -- J
625
        when 16#b4a# => romdata <= X"00"; -- J
626
        when 16#c4a# => romdata <= X"00"; -- J
627
        when 16#04b# => romdata <= X"00"; -- K
628
        when 16#14b# => romdata <= X"00"; -- K
629
        when 16#24b# => romdata <= X"42"; -- K
630
        when 16#34b# => romdata <= X"44"; -- K
631
        when 16#44b# => romdata <= X"48"; -- K
632
        when 16#54b# => romdata <= X"50"; -- K
633
        when 16#64b# => romdata <= X"60"; -- K
634
        when 16#74b# => romdata <= X"50"; -- K
635
        when 16#84b# => romdata <= X"48"; -- K
636
        when 16#94b# => romdata <= X"44"; -- K
637
        when 16#a4b# => romdata <= X"42"; -- K
638
        when 16#b4b# => romdata <= X"00"; -- K
639
        when 16#c4b# => romdata <= X"00"; -- K
640
        when 16#04c# => romdata <= X"00"; -- L
641
        when 16#14c# => romdata <= X"00"; -- L
642
        when 16#24c# => romdata <= X"40"; -- L
643
        when 16#34c# => romdata <= X"40"; -- L
644
        when 16#44c# => romdata <= X"40"; -- L
645
        when 16#54c# => romdata <= X"40"; -- L
646
        when 16#64c# => romdata <= X"40"; -- L
647
        when 16#74c# => romdata <= X"40"; -- L
648
        when 16#84c# => romdata <= X"40"; -- L
649
        when 16#94c# => romdata <= X"40"; -- L
650
        when 16#a4c# => romdata <= X"7e"; -- L
651
        when 16#b4c# => romdata <= X"00"; -- L
652
        when 16#c4c# => romdata <= X"00"; -- L
653
        when 16#04d# => romdata <= X"00"; -- M
654
        when 16#14d# => romdata <= X"00"; -- M
655
        when 16#24d# => romdata <= X"82"; -- M
656
        when 16#34d# => romdata <= X"82"; -- M
657
        when 16#44d# => romdata <= X"c6"; -- M
658
        when 16#54d# => romdata <= X"aa"; -- M
659
        when 16#64d# => romdata <= X"92"; -- M
660
        when 16#74d# => romdata <= X"92"; -- M
661
        when 16#84d# => romdata <= X"82"; -- M
662
        when 16#94d# => romdata <= X"82"; -- M
663
        when 16#a4d# => romdata <= X"82"; -- M
664
        when 16#b4d# => romdata <= X"00"; -- M
665
        when 16#c4d# => romdata <= X"00"; -- M
666
        when 16#04e# => romdata <= X"00"; -- N
667
        when 16#14e# => romdata <= X"00"; -- N
668
        when 16#24e# => romdata <= X"42"; -- N
669
        when 16#34e# => romdata <= X"42"; -- N
670
        when 16#44e# => romdata <= X"62"; -- N
671
        when 16#54e# => romdata <= X"52"; -- N
672
        when 16#64e# => romdata <= X"4a"; -- N
673
        when 16#74e# => romdata <= X"46"; -- N
674
        when 16#84e# => romdata <= X"42"; -- N
675
        when 16#94e# => romdata <= X"42"; -- N
676
        when 16#a4e# => romdata <= X"42"; -- N
677
        when 16#b4e# => romdata <= X"00"; -- N
678
        when 16#c4e# => romdata <= X"00"; -- N
679
        when 16#04f# => romdata <= X"00"; -- O
680
        when 16#14f# => romdata <= X"00"; -- O
681
        when 16#24f# => romdata <= X"3c"; -- O
682
        when 16#34f# => romdata <= X"42"; -- O
683
        when 16#44f# => romdata <= X"42"; -- O
684
        when 16#54f# => romdata <= X"42"; -- O
685
        when 16#64f# => romdata <= X"42"; -- O
686
        when 16#74f# => romdata <= X"42"; -- O
687
        when 16#84f# => romdata <= X"42"; -- O
688
        when 16#94f# => romdata <= X"42"; -- O
689
        when 16#a4f# => romdata <= X"3c"; -- O
690
        when 16#b4f# => romdata <= X"00"; -- O
691
        when 16#c4f# => romdata <= X"00"; -- O
692
        when 16#050# => romdata <= X"00"; -- P
693
        when 16#150# => romdata <= X"00"; -- P
694
        when 16#250# => romdata <= X"7c"; -- P
695
        when 16#350# => romdata <= X"42"; -- P
696
        when 16#450# => romdata <= X"42"; -- P
697
        when 16#550# => romdata <= X"42"; -- P
698
        when 16#650# => romdata <= X"7c"; -- P
699
        when 16#750# => romdata <= X"40"; -- P
700
        when 16#850# => romdata <= X"40"; -- P
701
        when 16#950# => romdata <= X"40"; -- P
702
        when 16#a50# => romdata <= X"40"; -- P
703
        when 16#b50# => romdata <= X"00"; -- P
704
        when 16#c50# => romdata <= X"00"; -- P
705
        when 16#051# => romdata <= X"00"; -- Q
706
        when 16#151# => romdata <= X"00"; -- Q
707
        when 16#251# => romdata <= X"3c"; -- Q
708
        when 16#351# => romdata <= X"42"; -- Q
709
        when 16#451# => romdata <= X"42"; -- Q
710
        when 16#551# => romdata <= X"42"; -- Q
711
        when 16#651# => romdata <= X"42"; -- Q
712
        when 16#751# => romdata <= X"42"; -- Q
713
        when 16#851# => romdata <= X"52"; -- Q
714
        when 16#951# => romdata <= X"4a"; -- Q
715
        when 16#a51# => romdata <= X"3c"; -- Q
716
        when 16#b51# => romdata <= X"02"; -- Q
717
        when 16#c51# => romdata <= X"00"; -- Q
718
        when 16#052# => romdata <= X"00"; -- R
719
        when 16#152# => romdata <= X"00"; -- R
720
        when 16#252# => romdata <= X"7c"; -- R
721
        when 16#352# => romdata <= X"42"; -- R
722
        when 16#452# => romdata <= X"42"; -- R
723
        when 16#552# => romdata <= X"42"; -- R
724
        when 16#652# => romdata <= X"7c"; -- R
725
        when 16#752# => romdata <= X"50"; -- R
726
        when 16#852# => romdata <= X"48"; -- R
727
        when 16#952# => romdata <= X"44"; -- R
728
        when 16#a52# => romdata <= X"42"; -- R
729
        when 16#b52# => romdata <= X"00"; -- R
730
        when 16#c52# => romdata <= X"00"; -- R
731
        when 16#053# => romdata <= X"00"; -- S
732
        when 16#153# => romdata <= X"00"; -- S
733
        when 16#253# => romdata <= X"3c"; -- S
734
        when 16#353# => romdata <= X"42"; -- S
735
        when 16#453# => romdata <= X"40"; -- S
736
        when 16#553# => romdata <= X"40"; -- S
737
        when 16#653# => romdata <= X"3c"; -- S
738
        when 16#753# => romdata <= X"02"; -- S
739
        when 16#853# => romdata <= X"02"; -- S
740
        when 16#953# => romdata <= X"42"; -- S
741
        when 16#a53# => romdata <= X"3c"; -- S
742
        when 16#b53# => romdata <= X"00"; -- S
743
        when 16#c53# => romdata <= X"00"; -- S
744
        when 16#054# => romdata <= X"00"; -- T
745
        when 16#154# => romdata <= X"00"; -- T
746
        when 16#254# => romdata <= X"fe"; -- T
747
        when 16#354# => romdata <= X"10"; -- T
748
        when 16#454# => romdata <= X"10"; -- T
749
        when 16#554# => romdata <= X"10"; -- T
750
        when 16#654# => romdata <= X"10"; -- T
751
        when 16#754# => romdata <= X"10"; -- T
752
        when 16#854# => romdata <= X"10"; -- T
753
        when 16#954# => romdata <= X"10"; -- T
754
        when 16#a54# => romdata <= X"10"; -- T
755
        when 16#b54# => romdata <= X"00"; -- T
756
        when 16#c54# => romdata <= X"00"; -- T
757
        when 16#055# => romdata <= X"00"; -- U
758
        when 16#155# => romdata <= X"00"; -- U
759
        when 16#255# => romdata <= X"42"; -- U
760
        when 16#355# => romdata <= X"42"; -- U
761
        when 16#455# => romdata <= X"42"; -- U
762
        when 16#555# => romdata <= X"42"; -- U
763
        when 16#655# => romdata <= X"42"; -- U
764
        when 16#755# => romdata <= X"42"; -- U
765
        when 16#855# => romdata <= X"42"; -- U
766
        when 16#955# => romdata <= X"42"; -- U
767
        when 16#a55# => romdata <= X"3c"; -- U
768
        when 16#b55# => romdata <= X"00"; -- U
769
        when 16#c55# => romdata <= X"00"; -- U
770
        when 16#056# => romdata <= X"00"; -- V
771
        when 16#156# => romdata <= X"00"; -- V
772
        when 16#256# => romdata <= X"82"; -- V
773
        when 16#356# => romdata <= X"82"; -- V
774
        when 16#456# => romdata <= X"44"; -- V
775
        when 16#556# => romdata <= X"44"; -- V
776
        when 16#656# => romdata <= X"44"; -- V
777
        when 16#756# => romdata <= X"28"; -- V
778
        when 16#856# => romdata <= X"28"; -- V
779
        when 16#956# => romdata <= X"28"; -- V
780
        when 16#a56# => romdata <= X"10"; -- V
781
        when 16#b56# => romdata <= X"00"; -- V
782
        when 16#c56# => romdata <= X"00"; -- V
783
        when 16#057# => romdata <= X"00"; -- W
784
        when 16#157# => romdata <= X"00"; -- W
785
        when 16#257# => romdata <= X"82"; -- W
786
        when 16#357# => romdata <= X"82"; -- W
787
        when 16#457# => romdata <= X"82"; -- W
788
        when 16#557# => romdata <= X"82"; -- W
789
        when 16#657# => romdata <= X"92"; -- W
790
        when 16#757# => romdata <= X"92"; -- W
791
        when 16#857# => romdata <= X"92"; -- W
792
        when 16#957# => romdata <= X"aa"; -- W
793
        when 16#a57# => romdata <= X"44"; -- W
794
        when 16#b57# => romdata <= X"00"; -- W
795
        when 16#c57# => romdata <= X"00"; -- W
796
        when 16#058# => romdata <= X"00"; -- X
797
        when 16#158# => romdata <= X"00"; -- X
798
        when 16#258# => romdata <= X"82"; -- X
799
        when 16#358# => romdata <= X"82"; -- X
800
        when 16#458# => romdata <= X"44"; -- X
801
        when 16#558# => romdata <= X"28"; -- X
802
        when 16#658# => romdata <= X"10"; -- X
803
        when 16#758# => romdata <= X"28"; -- X
804
        when 16#858# => romdata <= X"44"; -- X
805
        when 16#958# => romdata <= X"82"; -- X
806
        when 16#a58# => romdata <= X"82"; -- X
807
        when 16#b58# => romdata <= X"00"; -- X
808
        when 16#c58# => romdata <= X"00"; -- X
809
        when 16#059# => romdata <= X"00"; -- Y
810
        when 16#159# => romdata <= X"00"; -- Y
811
        when 16#259# => romdata <= X"82"; -- Y
812
        when 16#359# => romdata <= X"82"; -- Y
813
        when 16#459# => romdata <= X"44"; -- Y
814
        when 16#559# => romdata <= X"28"; -- Y
815
        when 16#659# => romdata <= X"10"; -- Y
816
        when 16#759# => romdata <= X"10"; -- Y
817
        when 16#859# => romdata <= X"10"; -- Y
818
        when 16#959# => romdata <= X"10"; -- Y
819
        when 16#a59# => romdata <= X"10"; -- Y
820
        when 16#b59# => romdata <= X"00"; -- Y
821
        when 16#c59# => romdata <= X"00"; -- Y
822
        when 16#05a# => romdata <= X"00"; -- Z
823
        when 16#15a# => romdata <= X"00"; -- Z
824
        when 16#25a# => romdata <= X"7e"; -- Z
825
        when 16#35a# => romdata <= X"02"; -- Z
826
        when 16#45a# => romdata <= X"04"; -- Z
827
        when 16#55a# => romdata <= X"08"; -- Z
828
        when 16#65a# => romdata <= X"10"; -- Z
829
        when 16#75a# => romdata <= X"20"; -- Z
830
        when 16#85a# => romdata <= X"40"; -- Z
831
        when 16#95a# => romdata <= X"40"; -- Z
832
        when 16#a5a# => romdata <= X"7e"; -- Z
833
        when 16#b5a# => romdata <= X"00"; -- Z
834
        when 16#c5a# => romdata <= X"00"; -- Z
835
        when 16#05b# => romdata <= X"00"; -- [
836
        when 16#15b# => romdata <= X"00"; -- [
837
        when 16#25b# => romdata <= X"3c"; -- [
838
        when 16#35b# => romdata <= X"20"; -- [
839
        when 16#45b# => romdata <= X"20"; -- [
840
        when 16#55b# => romdata <= X"20"; -- [
841
        when 16#65b# => romdata <= X"20"; -- [
842
        when 16#75b# => romdata <= X"20"; -- [
843
        when 16#85b# => romdata <= X"20"; -- [
844
        when 16#95b# => romdata <= X"20"; -- [
845
        when 16#a5b# => romdata <= X"3c"; -- [
846
        when 16#b5b# => romdata <= X"00"; -- [
847
        when 16#c5b# => romdata <= X"00"; -- [
848
        when 16#05c# => romdata <= X"00"; -- \
849
        when 16#15c# => romdata <= X"00"; -- \
850
        when 16#25c# => romdata <= X"80"; -- \
851
        when 16#35c# => romdata <= X"80"; -- \
852
        when 16#45c# => romdata <= X"40"; -- \
853
        when 16#55c# => romdata <= X"20"; -- \
854
        when 16#65c# => romdata <= X"10"; -- \
855
        when 16#75c# => romdata <= X"08"; -- \
856
        when 16#85c# => romdata <= X"04"; -- \
857
        when 16#95c# => romdata <= X"02"; -- \
858
        when 16#a5c# => romdata <= X"02"; -- \
859
        when 16#b5c# => romdata <= X"00"; -- \
860
        when 16#c5c# => romdata <= X"00"; -- \
861
        when 16#05d# => romdata <= X"00"; -- ]
862
        when 16#15d# => romdata <= X"00"; -- ]
863
        when 16#25d# => romdata <= X"78"; -- ]
864
        when 16#35d# => romdata <= X"08"; -- ]
865
        when 16#45d# => romdata <= X"08"; -- ]
866
        when 16#55d# => romdata <= X"08"; -- ]
867
        when 16#65d# => romdata <= X"08"; -- ]
868
        when 16#75d# => romdata <= X"08"; -- ]
869
        when 16#85d# => romdata <= X"08"; -- ]
870
        when 16#95d# => romdata <= X"08"; -- ]
871
        when 16#a5d# => romdata <= X"78"; -- ]
872
        when 16#b5d# => romdata <= X"00"; -- ]
873
        when 16#c5d# => romdata <= X"00"; -- ]
874
        when 16#05e# => romdata <= X"00"; -- ^
875
        when 16#15e# => romdata <= X"00"; -- ^
876
        when 16#25e# => romdata <= X"10"; -- ^
877
        when 16#35e# => romdata <= X"28"; -- ^
878
        when 16#45e# => romdata <= X"44"; -- ^
879
        when 16#55e# => romdata <= X"00"; -- ^
880
        when 16#65e# => romdata <= X"00"; -- ^
881
        when 16#75e# => romdata <= X"00"; -- ^
882
        when 16#85e# => romdata <= X"00"; -- ^
883
        when 16#95e# => romdata <= X"00"; -- ^
884
        when 16#a5e# => romdata <= X"00"; -- ^
885
        when 16#b5e# => romdata <= X"00"; -- ^
886
        when 16#c5e# => romdata <= X"00"; -- ^
887
        when 16#05f# => romdata <= X"00"; -- _
888
        when 16#15f# => romdata <= X"00"; -- _
889
        when 16#25f# => romdata <= X"00"; -- _
890
        when 16#35f# => romdata <= X"00"; -- _
891
        when 16#45f# => romdata <= X"00"; -- _
892
        when 16#55f# => romdata <= X"00"; -- _
893
        when 16#65f# => romdata <= X"00"; -- _
894
        when 16#75f# => romdata <= X"00"; -- _
895
        when 16#85f# => romdata <= X"00"; -- _
896
        when 16#95f# => romdata <= X"00"; -- _
897
        when 16#a5f# => romdata <= X"00"; -- _
898
        when 16#b5f# => romdata <= X"fe"; -- _
899
        when 16#c5f# => romdata <= X"00"; -- _
900
        when 16#060# => romdata <= X"00"; -- `
901
        when 16#160# => romdata <= X"10"; -- `
902
        when 16#260# => romdata <= X"08"; -- `
903
        when 16#360# => romdata <= X"00"; -- `
904
        when 16#460# => romdata <= X"00"; -- `
905
        when 16#560# => romdata <= X"00"; -- `
906
        when 16#660# => romdata <= X"00"; -- `
907
        when 16#760# => romdata <= X"00"; -- `
908
        when 16#860# => romdata <= X"00"; -- `
909
        when 16#960# => romdata <= X"00"; -- `
910
        when 16#a60# => romdata <= X"00"; -- `
911
        when 16#b60# => romdata <= X"00"; -- `
912
        when 16#c60# => romdata <= X"00"; -- `
913
        when 16#061# => romdata <= X"00"; -- a
914
        when 16#161# => romdata <= X"00"; -- a
915
        when 16#261# => romdata <= X"00"; -- a
916
        when 16#361# => romdata <= X"00"; -- a
917
        when 16#461# => romdata <= X"00"; -- a
918
        when 16#561# => romdata <= X"3c"; -- a
919
        when 16#661# => romdata <= X"02"; -- a
920
        when 16#761# => romdata <= X"3e"; -- a
921
        when 16#861# => romdata <= X"42"; -- a
922
        when 16#961# => romdata <= X"46"; -- a
923
        when 16#a61# => romdata <= X"3a"; -- a
924
        when 16#b61# => romdata <= X"00"; -- a
925
        when 16#c61# => romdata <= X"00"; -- a
926
        when 16#062# => romdata <= X"00"; -- b
927
        when 16#162# => romdata <= X"00"; -- b
928
        when 16#262# => romdata <= X"40"; -- b
929
        when 16#362# => romdata <= X"40"; -- b
930
        when 16#462# => romdata <= X"40"; -- b
931
        when 16#562# => romdata <= X"5c"; -- b
932
        when 16#662# => romdata <= X"62"; -- b
933
        when 16#762# => romdata <= X"42"; -- b
934
        when 16#862# => romdata <= X"42"; -- b
935
        when 16#962# => romdata <= X"62"; -- b
936
        when 16#a62# => romdata <= X"5c"; -- b
937
        when 16#b62# => romdata <= X"00"; -- b
938
        when 16#c62# => romdata <= X"00"; -- b
939
        when 16#063# => romdata <= X"00"; -- c
940
        when 16#163# => romdata <= X"00"; -- c
941
        when 16#263# => romdata <= X"00"; -- c
942
        when 16#363# => romdata <= X"00"; -- c
943
        when 16#463# => romdata <= X"00"; -- c
944
        when 16#563# => romdata <= X"3c"; -- c
945
        when 16#663# => romdata <= X"42"; -- c
946
        when 16#763# => romdata <= X"40"; -- c
947
        when 16#863# => romdata <= X"40"; -- c
948
        when 16#963# => romdata <= X"42"; -- c
949
        when 16#a63# => romdata <= X"3c"; -- c
950
        when 16#b63# => romdata <= X"00"; -- c
951
        when 16#c63# => romdata <= X"00"; -- c
952
        when 16#064# => romdata <= X"00"; -- d
953
        when 16#164# => romdata <= X"00"; -- d
954
        when 16#264# => romdata <= X"02"; -- d
955
        when 16#364# => romdata <= X"02"; -- d
956
        when 16#464# => romdata <= X"02"; -- d
957
        when 16#564# => romdata <= X"3a"; -- d
958
        when 16#664# => romdata <= X"46"; -- d
959
        when 16#764# => romdata <= X"42"; -- d
960
        when 16#864# => romdata <= X"42"; -- d
961
        when 16#964# => romdata <= X"46"; -- d
962
        when 16#a64# => romdata <= X"3a"; -- d
963
        when 16#b64# => romdata <= X"00"; -- d
964
        when 16#c64# => romdata <= X"00"; -- d
965
        when 16#065# => romdata <= X"00"; -- e
966
        when 16#165# => romdata <= X"00"; -- e
967
        when 16#265# => romdata <= X"00"; -- e
968
        when 16#365# => romdata <= X"00"; -- e
969
        when 16#465# => romdata <= X"00"; -- e
970
        when 16#565# => romdata <= X"3c"; -- e
971
        when 16#665# => romdata <= X"42"; -- e
972
        when 16#765# => romdata <= X"7e"; -- e
973
        when 16#865# => romdata <= X"40"; -- e
974
        when 16#965# => romdata <= X"42"; -- e
975
        when 16#a65# => romdata <= X"3c"; -- e
976
        when 16#b65# => romdata <= X"00"; -- e
977
        when 16#c65# => romdata <= X"00"; -- e
978
        when 16#066# => romdata <= X"00"; -- f
979
        when 16#166# => romdata <= X"00"; -- f
980
        when 16#266# => romdata <= X"1c"; -- f
981
        when 16#366# => romdata <= X"22"; -- f
982
        when 16#466# => romdata <= X"20"; -- f
983
        when 16#566# => romdata <= X"20"; -- f
984
        when 16#666# => romdata <= X"7c"; -- f
985
        when 16#766# => romdata <= X"20"; -- f
986
        when 16#866# => romdata <= X"20"; -- f
987
        when 16#966# => romdata <= X"20"; -- f
988
        when 16#a66# => romdata <= X"20"; -- f
989
        when 16#b66# => romdata <= X"00"; -- f
990
        when 16#c66# => romdata <= X"00"; -- f
991
        when 16#067# => romdata <= X"00"; -- g
992
        when 16#167# => romdata <= X"00"; -- g
993
        when 16#267# => romdata <= X"00"; -- g
994
        when 16#367# => romdata <= X"00"; -- g
995
        when 16#467# => romdata <= X"00"; -- g
996
        when 16#567# => romdata <= X"3a"; -- g
997
        when 16#667# => romdata <= X"44"; -- g
998
        when 16#767# => romdata <= X"44"; -- g
999
        when 16#867# => romdata <= X"38"; -- g
1000
        when 16#967# => romdata <= X"40"; -- g
1001
        when 16#a67# => romdata <= X"3c"; -- g
1002
        when 16#b67# => romdata <= X"42"; -- g
1003
        when 16#c67# => romdata <= X"3c"; -- g
1004
        when 16#068# => romdata <= X"00"; -- h
1005
        when 16#168# => romdata <= X"00"; -- h
1006
        when 16#268# => romdata <= X"40"; -- h
1007
        when 16#368# => romdata <= X"40"; -- h
1008
        when 16#468# => romdata <= X"40"; -- h
1009
        when 16#568# => romdata <= X"5c"; -- h
1010
        when 16#668# => romdata <= X"62"; -- h
1011
        when 16#768# => romdata <= X"42"; -- h
1012
        when 16#868# => romdata <= X"42"; -- h
1013
        when 16#968# => romdata <= X"42"; -- h
1014
        when 16#a68# => romdata <= X"42"; -- h
1015
        when 16#b68# => romdata <= X"00"; -- h
1016
        when 16#c68# => romdata <= X"00"; -- h
1017
        when 16#069# => romdata <= X"00"; -- i
1018
        when 16#169# => romdata <= X"00"; -- i
1019
        when 16#269# => romdata <= X"00"; -- i
1020
        when 16#369# => romdata <= X"10"; -- i
1021
        when 16#469# => romdata <= X"00"; -- i
1022
        when 16#569# => romdata <= X"30"; -- i
1023
        when 16#669# => romdata <= X"10"; -- i
1024
        when 16#769# => romdata <= X"10"; -- i
1025
        when 16#869# => romdata <= X"10"; -- i
1026
        when 16#969# => romdata <= X"10"; -- i
1027
        when 16#a69# => romdata <= X"7c"; -- i
1028
        when 16#b69# => romdata <= X"00"; -- i
1029
        when 16#c69# => romdata <= X"00"; -- i
1030
        when 16#06a# => romdata <= X"00"; -- j
1031
        when 16#16a# => romdata <= X"00"; -- j
1032
        when 16#26a# => romdata <= X"00"; -- j
1033
        when 16#36a# => romdata <= X"04"; -- j
1034
        when 16#46a# => romdata <= X"00"; -- j
1035
        when 16#56a# => romdata <= X"0c"; -- j
1036
        when 16#66a# => romdata <= X"04"; -- j
1037
        when 16#76a# => romdata <= X"04"; -- j
1038
        when 16#86a# => romdata <= X"04"; -- j
1039
        when 16#96a# => romdata <= X"04"; -- j
1040
        when 16#a6a# => romdata <= X"44"; -- j
1041
        when 16#b6a# => romdata <= X"44"; -- j
1042
        when 16#c6a# => romdata <= X"38"; -- j
1043
        when 16#06b# => romdata <= X"00"; -- k
1044
        when 16#16b# => romdata <= X"00"; -- k
1045
        when 16#26b# => romdata <= X"40"; -- k
1046
        when 16#36b# => romdata <= X"40"; -- k
1047
        when 16#46b# => romdata <= X"40"; -- k
1048
        when 16#56b# => romdata <= X"44"; -- k
1049
        when 16#66b# => romdata <= X"48"; -- k
1050
        when 16#76b# => romdata <= X"70"; -- k
1051
        when 16#86b# => romdata <= X"48"; -- k
1052
        when 16#96b# => romdata <= X"44"; -- k
1053
        when 16#a6b# => romdata <= X"42"; -- k
1054
        when 16#b6b# => romdata <= X"00"; -- k
1055
        when 16#c6b# => romdata <= X"00"; -- k
1056
        when 16#06c# => romdata <= X"00"; -- l
1057
        when 16#16c# => romdata <= X"00"; -- l
1058
        when 16#26c# => romdata <= X"30"; -- l
1059
        when 16#36c# => romdata <= X"10"; -- l
1060
        when 16#46c# => romdata <= X"10"; -- l
1061
        when 16#56c# => romdata <= X"10"; -- l
1062
        when 16#66c# => romdata <= X"10"; -- l
1063
        when 16#76c# => romdata <= X"10"; -- l
1064
        when 16#86c# => romdata <= X"10"; -- l
1065
        when 16#96c# => romdata <= X"10"; -- l
1066
        when 16#a6c# => romdata <= X"7c"; -- l
1067
        when 16#b6c# => romdata <= X"00"; -- l
1068
        when 16#c6c# => romdata <= X"00"; -- l
1069
        when 16#06d# => romdata <= X"00"; -- m
1070
        when 16#16d# => romdata <= X"00"; -- m
1071
        when 16#26d# => romdata <= X"00"; -- m
1072
        when 16#36d# => romdata <= X"00"; -- m
1073
        when 16#46d# => romdata <= X"00"; -- m
1074
        when 16#56d# => romdata <= X"ec"; -- m
1075
        when 16#66d# => romdata <= X"92"; -- m
1076
        when 16#76d# => romdata <= X"92"; -- m
1077
        when 16#86d# => romdata <= X"92"; -- m
1078
        when 16#96d# => romdata <= X"92"; -- m
1079
        when 16#a6d# => romdata <= X"82"; -- m
1080
        when 16#b6d# => romdata <= X"00"; -- m
1081
        when 16#c6d# => romdata <= X"00"; -- m
1082
        when 16#06e# => romdata <= X"00"; -- n
1083
        when 16#16e# => romdata <= X"00"; -- n
1084
        when 16#26e# => romdata <= X"00"; -- n
1085
        when 16#36e# => romdata <= X"00"; -- n
1086
        when 16#46e# => romdata <= X"00"; -- n
1087
        when 16#56e# => romdata <= X"5c"; -- n
1088
        when 16#66e# => romdata <= X"62"; -- n
1089
        when 16#76e# => romdata <= X"42"; -- n
1090
        when 16#86e# => romdata <= X"42"; -- n
1091
        when 16#96e# => romdata <= X"42"; -- n
1092
        when 16#a6e# => romdata <= X"42"; -- n
1093
        when 16#b6e# => romdata <= X"00"; -- n
1094
        when 16#c6e# => romdata <= X"00"; -- n
1095
        when 16#06f# => romdata <= X"00"; -- o
1096
        when 16#16f# => romdata <= X"00"; -- o
1097
        when 16#26f# => romdata <= X"00"; -- o
1098
        when 16#36f# => romdata <= X"00"; -- o
1099
        when 16#46f# => romdata <= X"00"; -- o
1100
        when 16#56f# => romdata <= X"3c"; -- o
1101
        when 16#66f# => romdata <= X"42"; -- o
1102
        when 16#76f# => romdata <= X"42"; -- o
1103
        when 16#86f# => romdata <= X"42"; -- o
1104
        when 16#96f# => romdata <= X"42"; -- o
1105
        when 16#a6f# => romdata <= X"3c"; -- o
1106
        when 16#b6f# => romdata <= X"00"; -- o
1107
        when 16#c6f# => romdata <= X"00"; -- o
1108
        when 16#070# => romdata <= X"00"; -- p
1109
        when 16#170# => romdata <= X"00"; -- p
1110
        when 16#270# => romdata <= X"00"; -- p
1111
        when 16#370# => romdata <= X"00"; -- p
1112
        when 16#470# => romdata <= X"00"; -- p
1113
        when 16#570# => romdata <= X"5c"; -- p
1114
        when 16#670# => romdata <= X"62"; -- p
1115
        when 16#770# => romdata <= X"42"; -- p
1116
        when 16#870# => romdata <= X"62"; -- p
1117
        when 16#970# => romdata <= X"5c"; -- p
1118
        when 16#a70# => romdata <= X"40"; -- p
1119
        when 16#b70# => romdata <= X"40"; -- p
1120
        when 16#c70# => romdata <= X"40"; -- p
1121
        when 16#071# => romdata <= X"00"; -- q
1122
        when 16#171# => romdata <= X"00"; -- q
1123
        when 16#271# => romdata <= X"00"; -- q
1124
        when 16#371# => romdata <= X"00"; -- q
1125
        when 16#471# => romdata <= X"00"; -- q
1126
        when 16#571# => romdata <= X"3a"; -- q
1127
        when 16#671# => romdata <= X"46"; -- q
1128
        when 16#771# => romdata <= X"42"; -- q
1129
        when 16#871# => romdata <= X"46"; -- q
1130
        when 16#971# => romdata <= X"3a"; -- q
1131
        when 16#a71# => romdata <= X"02"; -- q
1132
        when 16#b71# => romdata <= X"02"; -- q
1133
        when 16#c71# => romdata <= X"02"; -- q
1134
        when 16#072# => romdata <= X"00"; -- r
1135
        when 16#172# => romdata <= X"00"; -- r
1136
        when 16#272# => romdata <= X"00"; -- r
1137
        when 16#372# => romdata <= X"00"; -- r
1138
        when 16#472# => romdata <= X"00"; -- r
1139
        when 16#572# => romdata <= X"5c"; -- r
1140
        when 16#672# => romdata <= X"22"; -- r
1141
        when 16#772# => romdata <= X"20"; -- r
1142
        when 16#872# => romdata <= X"20"; -- r
1143
        when 16#972# => romdata <= X"20"; -- r
1144
        when 16#a72# => romdata <= X"20"; -- r
1145
        when 16#b72# => romdata <= X"00"; -- r
1146
        when 16#c72# => romdata <= X"00"; -- r
1147
        when 16#073# => romdata <= X"00"; -- s
1148
        when 16#173# => romdata <= X"00"; -- s
1149
        when 16#273# => romdata <= X"00"; -- s
1150
        when 16#373# => romdata <= X"00"; -- s
1151
        when 16#473# => romdata <= X"00"; -- s
1152
        when 16#573# => romdata <= X"3c"; -- s
1153
        when 16#673# => romdata <= X"42"; -- s
1154
        when 16#773# => romdata <= X"30"; -- s
1155
        when 16#873# => romdata <= X"0c"; -- s
1156
        when 16#973# => romdata <= X"42"; -- s
1157
        when 16#a73# => romdata <= X"3c"; -- s
1158
        when 16#b73# => romdata <= X"00"; -- s
1159
        when 16#c73# => romdata <= X"00"; -- s
1160
        when 16#074# => romdata <= X"00"; -- t
1161
        when 16#174# => romdata <= X"00"; -- t
1162
        when 16#274# => romdata <= X"00"; -- t
1163
        when 16#374# => romdata <= X"20"; -- t
1164
        when 16#474# => romdata <= X"20"; -- t
1165
        when 16#574# => romdata <= X"7c"; -- t
1166
        when 16#674# => romdata <= X"20"; -- t
1167
        when 16#774# => romdata <= X"20"; -- t
1168
        when 16#874# => romdata <= X"20"; -- t
1169
        when 16#974# => romdata <= X"22"; -- t
1170
        when 16#a74# => romdata <= X"1c"; -- t
1171
        when 16#b74# => romdata <= X"00"; -- t
1172
        when 16#c74# => romdata <= X"00"; -- t
1173
        when 16#075# => romdata <= X"00"; -- u
1174
        when 16#175# => romdata <= X"00"; -- u
1175
        when 16#275# => romdata <= X"00"; -- u
1176
        when 16#375# => romdata <= X"00"; -- u
1177
        when 16#475# => romdata <= X"00"; -- u
1178
        when 16#575# => romdata <= X"44"; -- u
1179
        when 16#675# => romdata <= X"44"; -- u
1180
        when 16#775# => romdata <= X"44"; -- u
1181
        when 16#875# => romdata <= X"44"; -- u
1182
        when 16#975# => romdata <= X"44"; -- u
1183
        when 16#a75# => romdata <= X"3a"; -- u
1184
        when 16#b75# => romdata <= X"00"; -- u
1185
        when 16#c75# => romdata <= X"00"; -- u
1186
        when 16#076# => romdata <= X"00"; -- v
1187
        when 16#176# => romdata <= X"00"; -- v
1188
        when 16#276# => romdata <= X"00"; -- v
1189
        when 16#376# => romdata <= X"00"; -- v
1190
        when 16#476# => romdata <= X"00"; -- v
1191
        when 16#576# => romdata <= X"44"; -- v
1192
        when 16#676# => romdata <= X"44"; -- v
1193
        when 16#776# => romdata <= X"44"; -- v
1194
        when 16#876# => romdata <= X"28"; -- v
1195
        when 16#976# => romdata <= X"28"; -- v
1196
        when 16#a76# => romdata <= X"10"; -- v
1197
        when 16#b76# => romdata <= X"00"; -- v
1198
        when 16#c76# => romdata <= X"00"; -- v
1199
        when 16#077# => romdata <= X"00"; -- w
1200
        when 16#177# => romdata <= X"00"; -- w
1201
        when 16#277# => romdata <= X"00"; -- w
1202
        when 16#377# => romdata <= X"00"; -- w
1203
        when 16#477# => romdata <= X"00"; -- w
1204
        when 16#577# => romdata <= X"82"; -- w
1205
        when 16#677# => romdata <= X"82"; -- w
1206
        when 16#777# => romdata <= X"92"; -- w
1207
        when 16#877# => romdata <= X"92"; -- w
1208
        when 16#977# => romdata <= X"aa"; -- w
1209
        when 16#a77# => romdata <= X"44"; -- w
1210
        when 16#b77# => romdata <= X"00"; -- w
1211
        when 16#c77# => romdata <= X"00"; -- w
1212
        when 16#078# => romdata <= X"00"; -- x
1213
        when 16#178# => romdata <= X"00"; -- x
1214
        when 16#278# => romdata <= X"00"; -- x
1215
        when 16#378# => romdata <= X"00"; -- x
1216
        when 16#478# => romdata <= X"00"; -- x
1217
        when 16#578# => romdata <= X"42"; -- x
1218
        when 16#678# => romdata <= X"24"; -- x
1219
        when 16#778# => romdata <= X"18"; -- x
1220
        when 16#878# => romdata <= X"18"; -- x
1221
        when 16#978# => romdata <= X"24"; -- x
1222
        when 16#a78# => romdata <= X"42"; -- x
1223
        when 16#b78# => romdata <= X"00"; -- x
1224
        when 16#c78# => romdata <= X"00"; -- x
1225
        when 16#079# => romdata <= X"00"; -- y
1226
        when 16#179# => romdata <= X"00"; -- y
1227
        when 16#279# => romdata <= X"00"; -- y
1228
        when 16#379# => romdata <= X"00"; -- y
1229
        when 16#479# => romdata <= X"00"; -- y
1230
        when 16#579# => romdata <= X"42"; -- y
1231
        when 16#679# => romdata <= X"42"; -- y
1232
        when 16#779# => romdata <= X"42"; -- y
1233
        when 16#879# => romdata <= X"46"; -- y
1234
        when 16#979# => romdata <= X"3a"; -- y
1235
        when 16#a79# => romdata <= X"02"; -- y
1236
        when 16#b79# => romdata <= X"42"; -- y
1237
        when 16#c79# => romdata <= X"3c"; -- y
1238
        when 16#07a# => romdata <= X"00"; -- z
1239
        when 16#17a# => romdata <= X"00"; -- z
1240
        when 16#27a# => romdata <= X"00"; -- z
1241
        when 16#37a# => romdata <= X"00"; -- z
1242
        when 16#47a# => romdata <= X"00"; -- z
1243
        when 16#57a# => romdata <= X"7e"; -- z
1244
        when 16#67a# => romdata <= X"04"; -- z
1245
        when 16#77a# => romdata <= X"08"; -- z
1246
        when 16#87a# => romdata <= X"10"; -- z
1247
        when 16#97a# => romdata <= X"20"; -- z
1248
        when 16#a7a# => romdata <= X"7e"; -- z
1249
        when 16#b7a# => romdata <= X"00"; -- z
1250
        when 16#c7a# => romdata <= X"00"; -- z
1251
        when 16#07b# => romdata <= X"00"; -- {
1252
        when 16#17b# => romdata <= X"00"; -- {
1253
        when 16#27b# => romdata <= X"0e"; -- {
1254
        when 16#37b# => romdata <= X"10"; -- {
1255
        when 16#47b# => romdata <= X"10"; -- {
1256
        when 16#57b# => romdata <= X"08"; -- {
1257
        when 16#67b# => romdata <= X"30"; -- {
1258
        when 16#77b# => romdata <= X"08"; -- {
1259
        when 16#87b# => romdata <= X"10"; -- {
1260
        when 16#97b# => romdata <= X"10"; -- {
1261
        when 16#a7b# => romdata <= X"0e"; -- {
1262
        when 16#b7b# => romdata <= X"00"; -- {
1263
        when 16#c7b# => romdata <= X"00"; -- {
1264
        when 16#07c# => romdata <= X"00"; -- |
1265
        when 16#17c# => romdata <= X"00"; -- |
1266
        when 16#27c# => romdata <= X"10"; -- |
1267
        when 16#37c# => romdata <= X"10"; -- |
1268
        when 16#47c# => romdata <= X"10"; -- |
1269
        when 16#57c# => romdata <= X"10"; -- |
1270
        when 16#67c# => romdata <= X"10"; -- |
1271
        when 16#77c# => romdata <= X"10"; -- |
1272
        when 16#87c# => romdata <= X"10"; -- |
1273
        when 16#97c# => romdata <= X"10"; -- |
1274
        when 16#a7c# => romdata <= X"10"; -- |
1275
        when 16#b7c# => romdata <= X"00"; -- |
1276
        when 16#c7c# => romdata <= X"00"; -- |
1277
        when 16#07d# => romdata <= X"00"; -- }
1278
        when 16#17d# => romdata <= X"00"; -- }
1279
        when 16#27d# => romdata <= X"70"; -- }
1280
        when 16#37d# => romdata <= X"08"; -- }
1281
        when 16#47d# => romdata <= X"08"; -- }
1282
        when 16#57d# => romdata <= X"10"; -- }
1283
        when 16#67d# => romdata <= X"0c"; -- }
1284
        when 16#77d# => romdata <= X"10"; -- }
1285
        when 16#87d# => romdata <= X"08"; -- }
1286
        when 16#97d# => romdata <= X"08"; -- }
1287
        when 16#a7d# => romdata <= X"70"; -- }
1288
        when 16#b7d# => romdata <= X"00"; -- }
1289
        when 16#c7d# => romdata <= X"00"; -- }
1290
        when 16#07e# => romdata <= X"00"; -- ~
1291
        when 16#17e# => romdata <= X"00"; -- ~
1292
        when 16#27e# => romdata <= X"24"; -- ~
1293
        when 16#37e# => romdata <= X"54"; -- ~
1294
        when 16#47e# => romdata <= X"48"; -- ~
1295
        when 16#57e# => romdata <= X"00"; -- ~
1296
        when 16#67e# => romdata <= X"00"; -- ~
1297
        when 16#77e# => romdata <= X"00"; -- ~
1298
        when 16#87e# => romdata <= X"00"; -- ~
1299
        when 16#97e# => romdata <= X"00"; -- ~
1300
        when 16#a7e# => romdata <= X"00"; -- ~
1301
        when 16#b7e# => romdata <= X"00"; -- ~
1302
        when 16#c7e# => romdata <= X"00"; -- ~
1303
        when 16#0a0# => romdata <= X"00"; --  
1304
        when 16#1a0# => romdata <= X"00"; --  
1305
        when 16#2a0# => romdata <= X"00"; --  
1306
        when 16#3a0# => romdata <= X"00"; --  
1307
        when 16#4a0# => romdata <= X"00"; --  
1308
        when 16#5a0# => romdata <= X"00"; --  
1309
        when 16#6a0# => romdata <= X"00"; --  
1310
        when 16#7a0# => romdata <= X"00"; --  
1311
        when 16#8a0# => romdata <= X"00"; --  
1312
        when 16#9a0# => romdata <= X"00"; --  
1313
        when 16#aa0# => romdata <= X"00"; --  
1314
        when 16#ba0# => romdata <= X"00"; --  
1315
        when 16#ca0# => romdata <= X"00"; --  
1316
        when 16#0a1# => romdata <= X"00"; -- ¡
1317
        when 16#1a1# => romdata <= X"00"; -- ¡
1318
        when 16#2a1# => romdata <= X"10"; -- ¡
1319
        when 16#3a1# => romdata <= X"00"; -- ¡
1320
        when 16#4a1# => romdata <= X"10"; -- ¡
1321
        when 16#5a1# => romdata <= X"10"; -- ¡
1322
        when 16#6a1# => romdata <= X"10"; -- ¡
1323
        when 16#7a1# => romdata <= X"10"; -- ¡
1324
        when 16#8a1# => romdata <= X"10"; -- ¡
1325
        when 16#9a1# => romdata <= X"10"; -- ¡
1326
        when 16#aa1# => romdata <= X"10"; -- ¡
1327
        when 16#ba1# => romdata <= X"00"; -- ¡
1328
        when 16#ca1# => romdata <= X"00"; -- ¡
1329
        when 16#0a2# => romdata <= X"00"; -- ¢
1330
        when 16#1a2# => romdata <= X"00"; -- ¢
1331
        when 16#2a2# => romdata <= X"10"; -- ¢
1332
        when 16#3a2# => romdata <= X"38"; -- ¢
1333
        when 16#4a2# => romdata <= X"54"; -- ¢
1334
        when 16#5a2# => romdata <= X"50"; -- ¢
1335
        when 16#6a2# => romdata <= X"50"; -- ¢
1336
        when 16#7a2# => romdata <= X"54"; -- ¢
1337
        when 16#8a2# => romdata <= X"38"; -- ¢
1338
        when 16#9a2# => romdata <= X"10"; -- ¢
1339
        when 16#aa2# => romdata <= X"00"; -- ¢
1340
        when 16#ba2# => romdata <= X"00"; -- ¢
1341
        when 16#ca2# => romdata <= X"00"; -- ¢
1342
        when 16#0a3# => romdata <= X"00"; -- £
1343
        when 16#1a3# => romdata <= X"00"; -- £
1344
        when 16#2a3# => romdata <= X"1c"; -- £
1345
        when 16#3a3# => romdata <= X"22"; -- £
1346
        when 16#4a3# => romdata <= X"20"; -- £
1347
        when 16#5a3# => romdata <= X"70"; -- £
1348
        when 16#6a3# => romdata <= X"20"; -- £
1349
        when 16#7a3# => romdata <= X"20"; -- £
1350
        when 16#8a3# => romdata <= X"20"; -- £
1351
        when 16#9a3# => romdata <= X"62"; -- £
1352
        when 16#aa3# => romdata <= X"dc"; -- £
1353
        when 16#ba3# => romdata <= X"00"; -- £
1354
        when 16#ca3# => romdata <= X"00"; -- £
1355
        when 16#0a4# => romdata <= X"00"; -- ¤
1356
        when 16#1a4# => romdata <= X"00"; -- ¤
1357
        when 16#2a4# => romdata <= X"00"; -- ¤
1358
        when 16#3a4# => romdata <= X"00"; -- ¤
1359
        when 16#4a4# => romdata <= X"42"; -- ¤
1360
        when 16#5a4# => romdata <= X"3c"; -- ¤
1361
        when 16#6a4# => romdata <= X"24"; -- ¤
1362
        when 16#7a4# => romdata <= X"24"; -- ¤
1363
        when 16#8a4# => romdata <= X"3c"; -- ¤
1364
        when 16#9a4# => romdata <= X"42"; -- ¤
1365
        when 16#aa4# => romdata <= X"00"; -- ¤
1366
        when 16#ba4# => romdata <= X"00"; -- ¤
1367
        when 16#ca4# => romdata <= X"00"; -- ¤
1368
        when 16#0a5# => romdata <= X"00"; -- ¥
1369
        when 16#1a5# => romdata <= X"00"; -- ¥
1370
        when 16#2a5# => romdata <= X"82"; -- ¥
1371
        when 16#3a5# => romdata <= X"82"; -- ¥
1372
        when 16#4a5# => romdata <= X"44"; -- ¥
1373
        when 16#5a5# => romdata <= X"28"; -- ¥
1374
        when 16#6a5# => romdata <= X"7c"; -- ¥
1375
        when 16#7a5# => romdata <= X"10"; -- ¥
1376
        when 16#8a5# => romdata <= X"7c"; -- ¥
1377
        when 16#9a5# => romdata <= X"10"; -- ¥
1378
        when 16#aa5# => romdata <= X"10"; -- ¥
1379
        when 16#ba5# => romdata <= X"00"; -- ¥
1380
        when 16#ca5# => romdata <= X"00"; -- ¥
1381
        when 16#0a6# => romdata <= X"00"; -- ¦
1382
        when 16#1a6# => romdata <= X"00"; -- ¦
1383
        when 16#2a6# => romdata <= X"10"; -- ¦
1384
        when 16#3a6# => romdata <= X"10"; -- ¦
1385
        when 16#4a6# => romdata <= X"10"; -- ¦
1386
        when 16#5a6# => romdata <= X"10"; -- ¦
1387
        when 16#6a6# => romdata <= X"00"; -- ¦
1388
        when 16#7a6# => romdata <= X"10"; -- ¦
1389
        when 16#8a6# => romdata <= X"10"; -- ¦
1390
        when 16#9a6# => romdata <= X"10"; -- ¦
1391
        when 16#aa6# => romdata <= X"10"; -- ¦
1392
        when 16#ba6# => romdata <= X"00"; -- ¦
1393
        when 16#ca6# => romdata <= X"00"; -- ¦
1394
        when 16#0a7# => romdata <= X"00"; -- §
1395
        when 16#1a7# => romdata <= X"18"; -- §
1396
        when 16#2a7# => romdata <= X"24"; -- §
1397
        when 16#3a7# => romdata <= X"20"; -- §
1398
        when 16#4a7# => romdata <= X"18"; -- §
1399
        when 16#5a7# => romdata <= X"24"; -- §
1400
        when 16#6a7# => romdata <= X"24"; -- §
1401
        when 16#7a7# => romdata <= X"18"; -- §
1402
        when 16#8a7# => romdata <= X"04"; -- §
1403
        when 16#9a7# => romdata <= X"24"; -- §
1404
        when 16#aa7# => romdata <= X"18"; -- §
1405
        when 16#ba7# => romdata <= X"00"; -- §
1406
        when 16#ca7# => romdata <= X"00"; -- §
1407
        when 16#0a8# => romdata <= X"00"; -- ¨
1408
        when 16#1a8# => romdata <= X"24"; -- ¨
1409
        when 16#2a8# => romdata <= X"24"; -- ¨
1410
        when 16#3a8# => romdata <= X"00"; -- ¨
1411
        when 16#4a8# => romdata <= X"00"; -- ¨
1412
        when 16#5a8# => romdata <= X"00"; -- ¨
1413
        when 16#6a8# => romdata <= X"00"; -- ¨
1414
        when 16#7a8# => romdata <= X"00"; -- ¨
1415
        when 16#8a8# => romdata <= X"00"; -- ¨
1416
        when 16#9a8# => romdata <= X"00"; -- ¨
1417
        when 16#aa8# => romdata <= X"00"; -- ¨
1418
        when 16#ba8# => romdata <= X"00"; -- ¨
1419
        when 16#ca8# => romdata <= X"00"; -- ¨
1420
        when 16#0a9# => romdata <= X"00"; -- ©
1421
        when 16#1a9# => romdata <= X"38"; -- ©
1422
        when 16#2a9# => romdata <= X"44"; -- ©
1423
        when 16#3a9# => romdata <= X"92"; -- ©
1424
        when 16#4a9# => romdata <= X"aa"; -- ©
1425
        when 16#5a9# => romdata <= X"a2"; -- ©
1426
        when 16#6a9# => romdata <= X"aa"; -- ©
1427
        when 16#7a9# => romdata <= X"92"; -- ©
1428
        when 16#8a9# => romdata <= X"44"; -- ©
1429
        when 16#9a9# => romdata <= X"38"; -- ©
1430
        when 16#aa9# => romdata <= X"00"; -- ©
1431
        when 16#ba9# => romdata <= X"00"; -- ©
1432
        when 16#ca9# => romdata <= X"00"; -- ©
1433
        when 16#0aa# => romdata <= X"00"; -- ª
1434
        when 16#1aa# => romdata <= X"00"; -- ª
1435
        when 16#2aa# => romdata <= X"38"; -- ª
1436
        when 16#3aa# => romdata <= X"04"; -- ª
1437
        when 16#4aa# => romdata <= X"3c"; -- ª
1438
        when 16#5aa# => romdata <= X"44"; -- ª
1439
        when 16#6aa# => romdata <= X"3c"; -- ª
1440
        when 16#7aa# => romdata <= X"00"; -- ª
1441
        when 16#8aa# => romdata <= X"7c"; -- ª
1442
        when 16#9aa# => romdata <= X"00"; -- ª
1443
        when 16#aaa# => romdata <= X"00"; -- ª
1444
        when 16#baa# => romdata <= X"00"; -- ª
1445
        when 16#caa# => romdata <= X"00"; -- ª
1446
        when 16#0ab# => romdata <= X"00"; -- «
1447
        when 16#1ab# => romdata <= X"00"; -- «
1448
        when 16#2ab# => romdata <= X"00"; -- «
1449
        when 16#3ab# => romdata <= X"12"; -- «
1450
        when 16#4ab# => romdata <= X"24"; -- «
1451
        when 16#5ab# => romdata <= X"48"; -- «
1452
        when 16#6ab# => romdata <= X"90"; -- «
1453
        when 16#7ab# => romdata <= X"48"; -- «
1454
        when 16#8ab# => romdata <= X"24"; -- «
1455
        when 16#9ab# => romdata <= X"12"; -- «
1456
        when 16#aab# => romdata <= X"00"; -- «
1457
        when 16#bab# => romdata <= X"00"; -- «
1458
        when 16#cab# => romdata <= X"00"; -- «
1459
        when 16#0ac# => romdata <= X"00"; -- ¬
1460
        when 16#1ac# => romdata <= X"00"; -- ¬
1461
        when 16#2ac# => romdata <= X"00"; -- ¬
1462
        when 16#3ac# => romdata <= X"00"; -- ¬
1463
        when 16#4ac# => romdata <= X"00"; -- ¬
1464
        when 16#5ac# => romdata <= X"00"; -- ¬
1465
        when 16#6ac# => romdata <= X"7e"; -- ¬
1466
        when 16#7ac# => romdata <= X"02"; -- ¬
1467
        when 16#8ac# => romdata <= X"02"; -- ¬
1468
        when 16#9ac# => romdata <= X"02"; -- ¬
1469
        when 16#aac# => romdata <= X"00"; -- ¬
1470
        when 16#bac# => romdata <= X"00"; -- ¬
1471
        when 16#cac# => romdata <= X"00"; -- ¬
1472
        when 16#0ad# => romdata <= X"00"; -- ­
1473
        when 16#1ad# => romdata <= X"00"; -- ­
1474
        when 16#2ad# => romdata <= X"00"; -- ­
1475
        when 16#3ad# => romdata <= X"00"; -- ­
1476
        when 16#4ad# => romdata <= X"00"; -- ­
1477
        when 16#5ad# => romdata <= X"00"; -- ­
1478
        when 16#6ad# => romdata <= X"3c"; -- ­
1479
        when 16#7ad# => romdata <= X"00"; -- ­
1480
        when 16#8ad# => romdata <= X"00"; -- ­
1481
        when 16#9ad# => romdata <= X"00"; -- ­
1482
        when 16#aad# => romdata <= X"00"; -- ­
1483
        when 16#bad# => romdata <= X"00"; -- ­
1484
        when 16#cad# => romdata <= X"00"; -- ­
1485
        when 16#0ae# => romdata <= X"00"; -- ®
1486
        when 16#1ae# => romdata <= X"38"; -- ®
1487
        when 16#2ae# => romdata <= X"44"; -- ®
1488
        when 16#3ae# => romdata <= X"92"; -- ®
1489
        when 16#4ae# => romdata <= X"aa"; -- ®
1490
        when 16#5ae# => romdata <= X"aa"; -- ®
1491
        when 16#6ae# => romdata <= X"b2"; -- ®
1492
        when 16#7ae# => romdata <= X"aa"; -- ®
1493
        when 16#8ae# => romdata <= X"44"; -- ®
1494
        when 16#9ae# => romdata <= X"38"; -- ®
1495
        when 16#aae# => romdata <= X"00"; -- ®
1496
        when 16#bae# => romdata <= X"00"; -- ®
1497
        when 16#cae# => romdata <= X"00"; -- ®
1498
        when 16#0af# => romdata <= X"00"; -- ¯
1499
        when 16#1af# => romdata <= X"00"; -- ¯
1500
        when 16#2af# => romdata <= X"7e"; -- ¯
1501
        when 16#3af# => romdata <= X"00"; -- ¯
1502
        when 16#4af# => romdata <= X"00"; -- ¯
1503
        when 16#5af# => romdata <= X"00"; -- ¯
1504
        when 16#6af# => romdata <= X"00"; -- ¯
1505
        when 16#7af# => romdata <= X"00"; -- ¯
1506
        when 16#8af# => romdata <= X"00"; -- ¯
1507
        when 16#9af# => romdata <= X"00"; -- ¯
1508
        when 16#aaf# => romdata <= X"00"; -- ¯
1509
        when 16#baf# => romdata <= X"00"; -- ¯
1510
        when 16#caf# => romdata <= X"00"; -- ¯
1511
        when 16#0b0# => romdata <= X"00"; -- °
1512
        when 16#1b0# => romdata <= X"00"; -- °
1513
        when 16#2b0# => romdata <= X"18"; -- °
1514
        when 16#3b0# => romdata <= X"24"; -- °
1515
        when 16#4b0# => romdata <= X"24"; -- °
1516
        when 16#5b0# => romdata <= X"18"; -- °
1517
        when 16#6b0# => romdata <= X"00"; -- °
1518
        when 16#7b0# => romdata <= X"00"; -- °
1519
        when 16#8b0# => romdata <= X"00"; -- °
1520
        when 16#9b0# => romdata <= X"00"; -- °
1521
        when 16#ab0# => romdata <= X"00"; -- °
1522
        when 16#bb0# => romdata <= X"00"; -- °
1523
        when 16#cb0# => romdata <= X"00"; -- °
1524
        when 16#0b1# => romdata <= X"00"; -- ±
1525
        when 16#1b1# => romdata <= X"00"; -- ±
1526
        when 16#2b1# => romdata <= X"00"; -- ±
1527
        when 16#3b1# => romdata <= X"10"; -- ±
1528
        when 16#4b1# => romdata <= X"10"; -- ±
1529
        when 16#5b1# => romdata <= X"7c"; -- ±
1530
        when 16#6b1# => romdata <= X"10"; -- ±
1531
        when 16#7b1# => romdata <= X"10"; -- ±
1532
        when 16#8b1# => romdata <= X"00"; -- ±
1533
        when 16#9b1# => romdata <= X"7c"; -- ±
1534
        when 16#ab1# => romdata <= X"00"; -- ±
1535
        when 16#bb1# => romdata <= X"00"; -- ±
1536
        when 16#cb1# => romdata <= X"00"; -- ±
1537
        when 16#0b2# => romdata <= X"00"; -- ²
1538
        when 16#1b2# => romdata <= X"30"; -- ²
1539
        when 16#2b2# => romdata <= X"48"; -- ²
1540
        when 16#3b2# => romdata <= X"08"; -- ²
1541
        when 16#4b2# => romdata <= X"30"; -- ²
1542
        when 16#5b2# => romdata <= X"40"; -- ²
1543
        when 16#6b2# => romdata <= X"78"; -- ²
1544
        when 16#7b2# => romdata <= X"00"; -- ²
1545
        when 16#8b2# => romdata <= X"00"; -- ²
1546
        when 16#9b2# => romdata <= X"00"; -- ²
1547
        when 16#ab2# => romdata <= X"00"; -- ²
1548
        when 16#bb2# => romdata <= X"00"; -- ²
1549
        when 16#cb2# => romdata <= X"00"; -- ²
1550
        when 16#0b3# => romdata <= X"00"; -- ³
1551
        when 16#1b3# => romdata <= X"30"; -- ³
1552
        when 16#2b3# => romdata <= X"48"; -- ³
1553
        when 16#3b3# => romdata <= X"10"; -- ³
1554
        when 16#4b3# => romdata <= X"08"; -- ³
1555
        when 16#5b3# => romdata <= X"48"; -- ³
1556
        when 16#6b3# => romdata <= X"30"; -- ³
1557
        when 16#7b3# => romdata <= X"00"; -- ³
1558
        when 16#8b3# => romdata <= X"00"; -- ³
1559
        when 16#9b3# => romdata <= X"00"; -- ³
1560
        when 16#ab3# => romdata <= X"00"; -- ³
1561
        when 16#bb3# => romdata <= X"00"; -- ³
1562
        when 16#cb3# => romdata <= X"00"; -- ³
1563
        when 16#0b4# => romdata <= X"00"; -- ´
1564
        when 16#1b4# => romdata <= X"08"; -- ´
1565
        when 16#2b4# => romdata <= X"10"; -- ´
1566
        when 16#3b4# => romdata <= X"00"; -- ´
1567
        when 16#4b4# => romdata <= X"00"; -- ´
1568
        when 16#5b4# => romdata <= X"00"; -- ´
1569
        when 16#6b4# => romdata <= X"00"; -- ´
1570
        when 16#7b4# => romdata <= X"00"; -- ´
1571
        when 16#8b4# => romdata <= X"00"; -- ´
1572
        when 16#9b4# => romdata <= X"00"; -- ´
1573
        when 16#ab4# => romdata <= X"00"; -- ´
1574
        when 16#bb4# => romdata <= X"00"; -- ´
1575
        when 16#cb4# => romdata <= X"00"; -- ´
1576
        when 16#0b5# => romdata <= X"00"; -- µ
1577
        when 16#1b5# => romdata <= X"00"; -- µ
1578
        when 16#2b5# => romdata <= X"00"; -- µ
1579
        when 16#3b5# => romdata <= X"00"; -- µ
1580
        when 16#4b5# => romdata <= X"00"; -- µ
1581
        when 16#5b5# => romdata <= X"42"; -- µ
1582
        when 16#6b5# => romdata <= X"42"; -- µ
1583
        when 16#7b5# => romdata <= X"42"; -- µ
1584
        when 16#8b5# => romdata <= X"42"; -- µ
1585
        when 16#9b5# => romdata <= X"66"; -- µ
1586
        when 16#ab5# => romdata <= X"5a"; -- µ
1587
        when 16#bb5# => romdata <= X"40"; -- µ
1588
        when 16#cb5# => romdata <= X"00"; -- µ
1589
        when 16#0b6# => romdata <= X"00"; -- ¶
1590
        when 16#1b6# => romdata <= X"00"; -- ¶
1591
        when 16#2b6# => romdata <= X"3e"; -- ¶
1592
        when 16#3b6# => romdata <= X"74"; -- ¶
1593
        when 16#4b6# => romdata <= X"74"; -- ¶
1594
        when 16#5b6# => romdata <= X"74"; -- ¶
1595
        when 16#6b6# => romdata <= X"34"; -- ¶
1596
        when 16#7b6# => romdata <= X"14"; -- ¶
1597
        when 16#8b6# => romdata <= X"14"; -- ¶
1598
        when 16#9b6# => romdata <= X"14"; -- ¶
1599
        when 16#ab6# => romdata <= X"14"; -- ¶
1600
        when 16#bb6# => romdata <= X"00"; -- ¶
1601
        when 16#cb6# => romdata <= X"00"; -- ¶
1602
        when 16#0b7# => romdata <= X"00"; -- ·
1603
        when 16#1b7# => romdata <= X"00"; -- ·
1604
        when 16#2b7# => romdata <= X"00"; -- ·
1605
        when 16#3b7# => romdata <= X"00"; -- ·
1606
        when 16#4b7# => romdata <= X"00"; -- ·
1607
        when 16#5b7# => romdata <= X"00"; -- ·
1608
        when 16#6b7# => romdata <= X"18"; -- ·
1609
        when 16#7b7# => romdata <= X"00"; -- ·
1610
        when 16#8b7# => romdata <= X"00"; -- ·
1611
        when 16#9b7# => romdata <= X"00"; -- ·
1612
        when 16#ab7# => romdata <= X"00"; -- ·
1613
        when 16#bb7# => romdata <= X"00"; -- ·
1614
        when 16#cb7# => romdata <= X"00"; -- ·
1615
        when 16#0b8# => romdata <= X"00"; -- ¸
1616
        when 16#1b8# => romdata <= X"00"; -- ¸
1617
        when 16#2b8# => romdata <= X"00"; -- ¸
1618
        when 16#3b8# => romdata <= X"00"; -- ¸
1619
        when 16#4b8# => romdata <= X"00"; -- ¸
1620
        when 16#5b8# => romdata <= X"00"; -- ¸
1621
        when 16#6b8# => romdata <= X"00"; -- ¸
1622
        when 16#7b8# => romdata <= X"00"; -- ¸
1623
        when 16#8b8# => romdata <= X"00"; -- ¸
1624
        when 16#9b8# => romdata <= X"00"; -- ¸
1625
        when 16#ab8# => romdata <= X"00"; -- ¸
1626
        when 16#bb8# => romdata <= X"08"; -- ¸
1627
        when 16#cb8# => romdata <= X"18"; -- ¸
1628
        when 16#0b9# => romdata <= X"00"; -- ¹
1629
        when 16#1b9# => romdata <= X"20"; -- ¹
1630
        when 16#2b9# => romdata <= X"60"; -- ¹
1631
        when 16#3b9# => romdata <= X"20"; -- ¹
1632
        when 16#4b9# => romdata <= X"20"; -- ¹
1633
        when 16#5b9# => romdata <= X"20"; -- ¹
1634
        when 16#6b9# => romdata <= X"70"; -- ¹
1635
        when 16#7b9# => romdata <= X"00"; -- ¹
1636
        when 16#8b9# => romdata <= X"00"; -- ¹
1637
        when 16#9b9# => romdata <= X"00"; -- ¹
1638
        when 16#ab9# => romdata <= X"00"; -- ¹
1639
        when 16#bb9# => romdata <= X"00"; -- ¹
1640
        when 16#cb9# => romdata <= X"00"; -- ¹
1641
        when 16#0ba# => romdata <= X"00"; -- º
1642
        when 16#1ba# => romdata <= X"00"; -- º
1643
        when 16#2ba# => romdata <= X"30"; -- º
1644
        when 16#3ba# => romdata <= X"48"; -- º
1645
        when 16#4ba# => romdata <= X"48"; -- º
1646
        when 16#5ba# => romdata <= X"30"; -- º
1647
        when 16#6ba# => romdata <= X"00"; -- º
1648
        when 16#7ba# => romdata <= X"78"; -- º
1649
        when 16#8ba# => romdata <= X"00"; -- º
1650
        when 16#9ba# => romdata <= X"00"; -- º
1651
        when 16#aba# => romdata <= X"00"; -- º
1652
        when 16#bba# => romdata <= X"00"; -- º
1653
        when 16#cba# => romdata <= X"00"; -- º
1654
        when 16#0bb# => romdata <= X"00"; -- »
1655
        when 16#1bb# => romdata <= X"00"; -- »
1656
        when 16#2bb# => romdata <= X"00"; -- »
1657
        when 16#3bb# => romdata <= X"90"; -- »
1658
        when 16#4bb# => romdata <= X"48"; -- »
1659
        when 16#5bb# => romdata <= X"24"; -- »
1660
        when 16#6bb# => romdata <= X"12"; -- »
1661
        when 16#7bb# => romdata <= X"24"; -- »
1662
        when 16#8bb# => romdata <= X"48"; -- »
1663
        when 16#9bb# => romdata <= X"90"; -- »
1664
        when 16#abb# => romdata <= X"00"; -- »
1665
        when 16#bbb# => romdata <= X"00"; -- »
1666
        when 16#cbb# => romdata <= X"00"; -- »
1667
        when 16#0bc# => romdata <= X"00"; -- ¼
1668
        when 16#1bc# => romdata <= X"40"; -- ¼
1669
        when 16#2bc# => romdata <= X"c0"; -- ¼
1670
        when 16#3bc# => romdata <= X"40"; -- ¼
1671
        when 16#4bc# => romdata <= X"40"; -- ¼
1672
        when 16#5bc# => romdata <= X"42"; -- ¼
1673
        when 16#6bc# => romdata <= X"e6"; -- ¼
1674
        when 16#7bc# => romdata <= X"0a"; -- ¼
1675
        when 16#8bc# => romdata <= X"12"; -- ¼
1676
        when 16#9bc# => romdata <= X"1a"; -- ¼
1677
        when 16#abc# => romdata <= X"06"; -- ¼
1678
        when 16#bbc# => romdata <= X"00"; -- ¼
1679
        when 16#cbc# => romdata <= X"00"; -- ¼
1680
        when 16#0bd# => romdata <= X"00"; -- ½
1681
        when 16#1bd# => romdata <= X"40"; -- ½
1682
        when 16#2bd# => romdata <= X"c0"; -- ½
1683
        when 16#3bd# => romdata <= X"40"; -- ½
1684
        when 16#4bd# => romdata <= X"40"; -- ½
1685
        when 16#5bd# => romdata <= X"4c"; -- ½
1686
        when 16#6bd# => romdata <= X"f2"; -- ½
1687
        when 16#7bd# => romdata <= X"02"; -- ½
1688
        when 16#8bd# => romdata <= X"0c"; -- ½
1689
        when 16#9bd# => romdata <= X"10"; -- ½
1690
        when 16#abd# => romdata <= X"1e"; -- ½
1691
        when 16#bbd# => romdata <= X"00"; -- ½
1692
        when 16#cbd# => romdata <= X"00"; -- ½
1693
        when 16#0be# => romdata <= X"00"; -- ¾
1694
        when 16#1be# => romdata <= X"60"; -- ¾
1695
        when 16#2be# => romdata <= X"90"; -- ¾
1696
        when 16#3be# => romdata <= X"20"; -- ¾
1697
        when 16#4be# => romdata <= X"10"; -- ¾
1698
        when 16#5be# => romdata <= X"92"; -- ¾
1699
        when 16#6be# => romdata <= X"66"; -- ¾
1700
        when 16#7be# => romdata <= X"0a"; -- ¾
1701
        when 16#8be# => romdata <= X"12"; -- ¾
1702
        when 16#9be# => romdata <= X"1a"; -- ¾
1703
        when 16#abe# => romdata <= X"06"; -- ¾
1704
        when 16#bbe# => romdata <= X"00"; -- ¾
1705
        when 16#cbe# => romdata <= X"00"; -- ¾
1706
        when 16#0bf# => romdata <= X"00"; -- ¿
1707
        when 16#1bf# => romdata <= X"00"; -- ¿
1708
        when 16#2bf# => romdata <= X"10"; -- ¿
1709
        when 16#3bf# => romdata <= X"00"; -- ¿
1710
        when 16#4bf# => romdata <= X"10"; -- ¿
1711
        when 16#5bf# => romdata <= X"10"; -- ¿
1712
        when 16#6bf# => romdata <= X"20"; -- ¿
1713
        when 16#7bf# => romdata <= X"40"; -- ¿
1714
        when 16#8bf# => romdata <= X"42"; -- ¿
1715
        when 16#9bf# => romdata <= X"42"; -- ¿
1716
        when 16#abf# => romdata <= X"3c"; -- ¿
1717
        when 16#bbf# => romdata <= X"00"; -- ¿
1718
        when 16#cbf# => romdata <= X"00"; -- ¿
1719
        when 16#0c0# => romdata <= X"00"; -- À
1720
        when 16#1c0# => romdata <= X"10"; -- À
1721
        when 16#2c0# => romdata <= X"08"; -- À
1722
        when 16#3c0# => romdata <= X"00"; -- À
1723
        when 16#4c0# => romdata <= X"18"; -- À
1724
        when 16#5c0# => romdata <= X"24"; -- À
1725
        when 16#6c0# => romdata <= X"42"; -- À
1726
        when 16#7c0# => romdata <= X"42"; -- À
1727
        when 16#8c0# => romdata <= X"7e"; -- À
1728
        when 16#9c0# => romdata <= X"42"; -- À
1729
        when 16#ac0# => romdata <= X"42"; -- À
1730
        when 16#bc0# => romdata <= X"00"; -- À
1731
        when 16#cc0# => romdata <= X"00"; -- À
1732
        when 16#0c1# => romdata <= X"00"; -- Á
1733
        when 16#1c1# => romdata <= X"08"; -- Á
1734
        when 16#2c1# => romdata <= X"10"; -- Á
1735
        when 16#3c1# => romdata <= X"00"; -- Á
1736
        when 16#4c1# => romdata <= X"18"; -- Á
1737
        when 16#5c1# => romdata <= X"24"; -- Á
1738
        when 16#6c1# => romdata <= X"42"; -- Á
1739
        when 16#7c1# => romdata <= X"42"; -- Á
1740
        when 16#8c1# => romdata <= X"7e"; -- Á
1741
        when 16#9c1# => romdata <= X"42"; -- Á
1742
        when 16#ac1# => romdata <= X"42"; -- Á
1743
        when 16#bc1# => romdata <= X"00"; -- Á
1744
        when 16#cc1# => romdata <= X"00"; -- Á
1745
        when 16#0c2# => romdata <= X"00"; -- Â
1746
        when 16#1c2# => romdata <= X"18"; -- Â
1747
        when 16#2c2# => romdata <= X"24"; -- Â
1748
        when 16#3c2# => romdata <= X"00"; -- Â
1749
        when 16#4c2# => romdata <= X"18"; -- Â
1750
        when 16#5c2# => romdata <= X"24"; -- Â
1751
        when 16#6c2# => romdata <= X"42"; -- Â
1752
        when 16#7c2# => romdata <= X"42"; -- Â
1753
        when 16#8c2# => romdata <= X"7e"; -- Â
1754
        when 16#9c2# => romdata <= X"42"; -- Â
1755
        when 16#ac2# => romdata <= X"42"; -- Â
1756
        when 16#bc2# => romdata <= X"00"; -- Â
1757
        when 16#cc2# => romdata <= X"00"; -- Â
1758
        when 16#0c3# => romdata <= X"00"; -- Ã
1759
        when 16#1c3# => romdata <= X"32"; -- Ã
1760
        when 16#2c3# => romdata <= X"4c"; -- Ã
1761
        when 16#3c3# => romdata <= X"00"; -- Ã
1762
        when 16#4c3# => romdata <= X"18"; -- Ã
1763
        when 16#5c3# => romdata <= X"24"; -- Ã
1764
        when 16#6c3# => romdata <= X"42"; -- Ã
1765
        when 16#7c3# => romdata <= X"42"; -- Ã
1766
        when 16#8c3# => romdata <= X"7e"; -- Ã
1767
        when 16#9c3# => romdata <= X"42"; -- Ã
1768
        when 16#ac3# => romdata <= X"42"; -- Ã
1769
        when 16#bc3# => romdata <= X"00"; -- Ã
1770
        when 16#cc3# => romdata <= X"00"; -- Ã
1771
        when 16#0c4# => romdata <= X"00"; -- Ä
1772
        when 16#1c4# => romdata <= X"24"; -- Ä
1773
        when 16#2c4# => romdata <= X"24"; -- Ä
1774
        when 16#3c4# => romdata <= X"00"; -- Ä
1775
        when 16#4c4# => romdata <= X"18"; -- Ä
1776
        when 16#5c4# => romdata <= X"24"; -- Ä
1777
        when 16#6c4# => romdata <= X"42"; -- Ä
1778
        when 16#7c4# => romdata <= X"42"; -- Ä
1779
        when 16#8c4# => romdata <= X"7e"; -- Ä
1780
        when 16#9c4# => romdata <= X"42"; -- Ä
1781
        when 16#ac4# => romdata <= X"42"; -- Ä
1782
        when 16#bc4# => romdata <= X"00"; -- Ä
1783
        when 16#cc4# => romdata <= X"00"; -- Ä
1784
        when 16#0c5# => romdata <= X"00"; -- Å
1785
        when 16#1c5# => romdata <= X"18"; -- Å
1786
        when 16#2c5# => romdata <= X"24"; -- Å
1787
        when 16#3c5# => romdata <= X"18"; -- Å
1788
        when 16#4c5# => romdata <= X"18"; -- Å
1789
        when 16#5c5# => romdata <= X"24"; -- Å
1790
        when 16#6c5# => romdata <= X"42"; -- Å
1791
        when 16#7c5# => romdata <= X"42"; -- Å
1792
        when 16#8c5# => romdata <= X"7e"; -- Å
1793
        when 16#9c5# => romdata <= X"42"; -- Å
1794
        when 16#ac5# => romdata <= X"42"; -- Å
1795
        when 16#bc5# => romdata <= X"00"; -- Å
1796
        when 16#cc5# => romdata <= X"00"; -- Å
1797
        when 16#0c6# => romdata <= X"00"; -- Æ
1798
        when 16#1c6# => romdata <= X"00"; -- Æ
1799
        when 16#2c6# => romdata <= X"6e"; -- Æ
1800
        when 16#3c6# => romdata <= X"90"; -- Æ
1801
        when 16#4c6# => romdata <= X"90"; -- Æ
1802
        when 16#5c6# => romdata <= X"90"; -- Æ
1803
        when 16#6c6# => romdata <= X"9c"; -- Æ
1804
        when 16#7c6# => romdata <= X"f0"; -- Æ
1805
        when 16#8c6# => romdata <= X"90"; -- Æ
1806
        when 16#9c6# => romdata <= X"90"; -- Æ
1807
        when 16#ac6# => romdata <= X"9e"; -- Æ
1808
        when 16#bc6# => romdata <= X"00"; -- Æ
1809
        when 16#cc6# => romdata <= X"00"; -- Æ
1810
        when 16#0c7# => romdata <= X"00"; -- Ç
1811
        when 16#1c7# => romdata <= X"00"; -- Ç
1812
        when 16#2c7# => romdata <= X"3c"; -- Ç
1813
        when 16#3c7# => romdata <= X"42"; -- Ç
1814
        when 16#4c7# => romdata <= X"40"; -- Ç
1815
        when 16#5c7# => romdata <= X"40"; -- Ç
1816
        when 16#6c7# => romdata <= X"40"; -- Ç
1817
        when 16#7c7# => romdata <= X"40"; -- Ç
1818
        when 16#8c7# => romdata <= X"40"; -- Ç
1819
        when 16#9c7# => romdata <= X"42"; -- Ç
1820
        when 16#ac7# => romdata <= X"3c"; -- Ç
1821
        when 16#bc7# => romdata <= X"08"; -- Ç
1822
        when 16#cc7# => romdata <= X"10"; -- Ç
1823
        when 16#0c8# => romdata <= X"00"; -- È
1824
        when 16#1c8# => romdata <= X"10"; -- È
1825
        when 16#2c8# => romdata <= X"08"; -- È
1826
        when 16#3c8# => romdata <= X"00"; -- È
1827
        when 16#4c8# => romdata <= X"7e"; -- È
1828
        when 16#5c8# => romdata <= X"40"; -- È
1829
        when 16#6c8# => romdata <= X"40"; -- È
1830
        when 16#7c8# => romdata <= X"78"; -- È
1831
        when 16#8c8# => romdata <= X"40"; -- È
1832
        when 16#9c8# => romdata <= X"40"; -- È
1833
        when 16#ac8# => romdata <= X"7e"; -- È
1834
        when 16#bc8# => romdata <= X"00"; -- È
1835
        when 16#cc8# => romdata <= X"00"; -- È
1836
        when 16#0c9# => romdata <= X"00"; -- É
1837
        when 16#1c9# => romdata <= X"08"; -- É
1838
        when 16#2c9# => romdata <= X"10"; -- É
1839
        when 16#3c9# => romdata <= X"00"; -- É
1840
        when 16#4c9# => romdata <= X"7e"; -- É
1841
        when 16#5c9# => romdata <= X"40"; -- É
1842
        when 16#6c9# => romdata <= X"40"; -- É
1843
        when 16#7c9# => romdata <= X"78"; -- É
1844
        when 16#8c9# => romdata <= X"40"; -- É
1845
        when 16#9c9# => romdata <= X"40"; -- É
1846
        when 16#ac9# => romdata <= X"7e"; -- É
1847
        when 16#bc9# => romdata <= X"00"; -- É
1848
        when 16#cc9# => romdata <= X"00"; -- É
1849
        when 16#0ca# => romdata <= X"00"; -- Ê
1850
        when 16#1ca# => romdata <= X"18"; -- Ê
1851
        when 16#2ca# => romdata <= X"24"; -- Ê
1852
        when 16#3ca# => romdata <= X"00"; -- Ê
1853
        when 16#4ca# => romdata <= X"7e"; -- Ê
1854
        when 16#5ca# => romdata <= X"40"; -- Ê
1855
        when 16#6ca# => romdata <= X"40"; -- Ê
1856
        when 16#7ca# => romdata <= X"78"; -- Ê
1857
        when 16#8ca# => romdata <= X"40"; -- Ê
1858
        when 16#9ca# => romdata <= X"40"; -- Ê
1859
        when 16#aca# => romdata <= X"7e"; -- Ê
1860
        when 16#bca# => romdata <= X"00"; -- Ê
1861
        when 16#cca# => romdata <= X"00"; -- Ê
1862
        when 16#0cb# => romdata <= X"00"; -- Ë
1863
        when 16#1cb# => romdata <= X"24"; -- Ë
1864
        when 16#2cb# => romdata <= X"24"; -- Ë
1865
        when 16#3cb# => romdata <= X"00"; -- Ë
1866
        when 16#4cb# => romdata <= X"7e"; -- Ë
1867
        when 16#5cb# => romdata <= X"40"; -- Ë
1868
        when 16#6cb# => romdata <= X"40"; -- Ë
1869
        when 16#7cb# => romdata <= X"78"; -- Ë
1870
        when 16#8cb# => romdata <= X"40"; -- Ë
1871
        when 16#9cb# => romdata <= X"40"; -- Ë
1872
        when 16#acb# => romdata <= X"7e"; -- Ë
1873
        when 16#bcb# => romdata <= X"00"; -- Ë
1874
        when 16#ccb# => romdata <= X"00"; -- Ë
1875
        when 16#0cc# => romdata <= X"00"; -- Ì
1876
        when 16#1cc# => romdata <= X"20"; -- Ì
1877
        when 16#2cc# => romdata <= X"10"; -- Ì
1878
        when 16#3cc# => romdata <= X"00"; -- Ì
1879
        when 16#4cc# => romdata <= X"7c"; -- Ì
1880
        when 16#5cc# => romdata <= X"10"; -- Ì
1881
        when 16#6cc# => romdata <= X"10"; -- Ì
1882
        when 16#7cc# => romdata <= X"10"; -- Ì
1883
        when 16#8cc# => romdata <= X"10"; -- Ì
1884
        when 16#9cc# => romdata <= X"10"; -- Ì
1885
        when 16#acc# => romdata <= X"7c"; -- Ì
1886
        when 16#bcc# => romdata <= X"00"; -- Ì
1887
        when 16#ccc# => romdata <= X"00"; -- Ì
1888
        when 16#0cd# => romdata <= X"00"; -- Í
1889
        when 16#1cd# => romdata <= X"08"; -- Í
1890
        when 16#2cd# => romdata <= X"10"; -- Í
1891
        when 16#3cd# => romdata <= X"00"; -- Í
1892
        when 16#4cd# => romdata <= X"7c"; -- Í
1893
        when 16#5cd# => romdata <= X"10"; -- Í
1894
        when 16#6cd# => romdata <= X"10"; -- Í
1895
        when 16#7cd# => romdata <= X"10"; -- Í
1896
        when 16#8cd# => romdata <= X"10"; -- Í
1897
        when 16#9cd# => romdata <= X"10"; -- Í
1898
        when 16#acd# => romdata <= X"7c"; -- Í
1899
        when 16#bcd# => romdata <= X"00"; -- Í
1900
        when 16#ccd# => romdata <= X"00"; -- Í
1901
        when 16#0ce# => romdata <= X"00"; -- Î
1902
        when 16#1ce# => romdata <= X"18"; -- Î
1903
        when 16#2ce# => romdata <= X"24"; -- Î
1904
        when 16#3ce# => romdata <= X"00"; -- Î
1905
        when 16#4ce# => romdata <= X"7c"; -- Î
1906
        when 16#5ce# => romdata <= X"10"; -- Î
1907
        when 16#6ce# => romdata <= X"10"; -- Î
1908
        when 16#7ce# => romdata <= X"10"; -- Î
1909
        when 16#8ce# => romdata <= X"10"; -- Î
1910
        when 16#9ce# => romdata <= X"10"; -- Î
1911
        when 16#ace# => romdata <= X"7c"; -- Î
1912
        when 16#bce# => romdata <= X"00"; -- Î
1913
        when 16#cce# => romdata <= X"00"; -- Î
1914
        when 16#0cf# => romdata <= X"00"; -- Ï
1915
        when 16#1cf# => romdata <= X"44"; -- Ï
1916
        when 16#2cf# => romdata <= X"44"; -- Ï
1917
        when 16#3cf# => romdata <= X"00"; -- Ï
1918
        when 16#4cf# => romdata <= X"7c"; -- Ï
1919
        when 16#5cf# => romdata <= X"10"; -- Ï
1920
        when 16#6cf# => romdata <= X"10"; -- Ï
1921
        when 16#7cf# => romdata <= X"10"; -- Ï
1922
        when 16#8cf# => romdata <= X"10"; -- Ï
1923
        when 16#9cf# => romdata <= X"10"; -- Ï
1924
        when 16#acf# => romdata <= X"7c"; -- Ï
1925
        when 16#bcf# => romdata <= X"00"; -- Ï
1926
        when 16#ccf# => romdata <= X"00"; -- Ï
1927
        when 16#0d0# => romdata <= X"00"; -- Ð
1928
        when 16#1d0# => romdata <= X"00"; -- Ð
1929
        when 16#2d0# => romdata <= X"78"; -- Ð
1930
        when 16#3d0# => romdata <= X"44"; -- Ð
1931
        when 16#4d0# => romdata <= X"42"; -- Ð
1932
        when 16#5d0# => romdata <= X"42"; -- Ð
1933
        when 16#6d0# => romdata <= X"e2"; -- Ð
1934
        when 16#7d0# => romdata <= X"42"; -- Ð
1935
        when 16#8d0# => romdata <= X"42"; -- Ð
1936
        when 16#9d0# => romdata <= X"44"; -- Ð
1937
        when 16#ad0# => romdata <= X"78"; -- Ð
1938
        when 16#bd0# => romdata <= X"00"; -- Ð
1939
        when 16#cd0# => romdata <= X"00"; -- Ð
1940
        when 16#0d1# => romdata <= X"00"; -- Ñ
1941
        when 16#1d1# => romdata <= X"64"; -- Ñ
1942
        when 16#2d1# => romdata <= X"98"; -- Ñ
1943
        when 16#3d1# => romdata <= X"00"; -- Ñ
1944
        when 16#4d1# => romdata <= X"82"; -- Ñ
1945
        when 16#5d1# => romdata <= X"c2"; -- Ñ
1946
        when 16#6d1# => romdata <= X"a2"; -- Ñ
1947
        when 16#7d1# => romdata <= X"92"; -- Ñ
1948
        when 16#8d1# => romdata <= X"8a"; -- Ñ
1949
        when 16#9d1# => romdata <= X"86"; -- Ñ
1950
        when 16#ad1# => romdata <= X"82"; -- Ñ
1951
        when 16#bd1# => romdata <= X"00"; -- Ñ
1952
        when 16#cd1# => romdata <= X"00"; -- Ñ
1953
        when 16#0d2# => romdata <= X"00"; -- Ò
1954
        when 16#1d2# => romdata <= X"20"; -- Ò
1955
        when 16#2d2# => romdata <= X"10"; -- Ò
1956
        when 16#3d2# => romdata <= X"00"; -- Ò
1957
        when 16#4d2# => romdata <= X"7c"; -- Ò
1958
        when 16#5d2# => romdata <= X"82"; -- Ò
1959
        when 16#6d2# => romdata <= X"82"; -- Ò
1960
        when 16#7d2# => romdata <= X"82"; -- Ò
1961
        when 16#8d2# => romdata <= X"82"; -- Ò
1962
        when 16#9d2# => romdata <= X"82"; -- Ò
1963
        when 16#ad2# => romdata <= X"7c"; -- Ò
1964
        when 16#bd2# => romdata <= X"00"; -- Ò
1965
        when 16#cd2# => romdata <= X"00"; -- Ò
1966
        when 16#0d3# => romdata <= X"00"; -- Ó
1967
        when 16#1d3# => romdata <= X"08"; -- Ó
1968
        when 16#2d3# => romdata <= X"10"; -- Ó
1969
        when 16#3d3# => romdata <= X"00"; -- Ó
1970
        when 16#4d3# => romdata <= X"7c"; -- Ó
1971
        when 16#5d3# => romdata <= X"82"; -- Ó
1972
        when 16#6d3# => romdata <= X"82"; -- Ó
1973
        when 16#7d3# => romdata <= X"82"; -- Ó
1974
        when 16#8d3# => romdata <= X"82"; -- Ó
1975
        when 16#9d3# => romdata <= X"82"; -- Ó
1976
        when 16#ad3# => romdata <= X"7c"; -- Ó
1977
        when 16#bd3# => romdata <= X"00"; -- Ó
1978
        when 16#cd3# => romdata <= X"00"; -- Ó
1979
        when 16#0d4# => romdata <= X"00"; -- Ô
1980
        when 16#1d4# => romdata <= X"18"; -- Ô
1981
        when 16#2d4# => romdata <= X"24"; -- Ô
1982
        when 16#3d4# => romdata <= X"00"; -- Ô
1983
        when 16#4d4# => romdata <= X"7c"; -- Ô
1984
        when 16#5d4# => romdata <= X"82"; -- Ô
1985
        when 16#6d4# => romdata <= X"82"; -- Ô
1986
        when 16#7d4# => romdata <= X"82"; -- Ô
1987
        when 16#8d4# => romdata <= X"82"; -- Ô
1988
        when 16#9d4# => romdata <= X"82"; -- Ô
1989
        when 16#ad4# => romdata <= X"7c"; -- Ô
1990
        when 16#bd4# => romdata <= X"00"; -- Ô
1991
        when 16#cd4# => romdata <= X"00"; -- Ô
1992
        when 16#0d5# => romdata <= X"00"; -- Õ
1993
        when 16#1d5# => romdata <= X"64"; -- Õ
1994
        when 16#2d5# => romdata <= X"98"; -- Õ
1995
        when 16#3d5# => romdata <= X"00"; -- Õ
1996
        when 16#4d5# => romdata <= X"7c"; -- Õ
1997
        when 16#5d5# => romdata <= X"82"; -- Õ
1998
        when 16#6d5# => romdata <= X"82"; -- Õ
1999
        when 16#7d5# => romdata <= X"82"; -- Õ
2000
        when 16#8d5# => romdata <= X"82"; -- Õ
2001
        when 16#9d5# => romdata <= X"82"; -- Õ
2002
        when 16#ad5# => romdata <= X"7c"; -- Õ
2003
        when 16#bd5# => romdata <= X"00"; -- Õ
2004
        when 16#cd5# => romdata <= X"00"; -- Õ
2005
        when 16#0d6# => romdata <= X"00"; -- Ö
2006
        when 16#1d6# => romdata <= X"44"; -- Ö
2007
        when 16#2d6# => romdata <= X"44"; -- Ö
2008
        when 16#3d6# => romdata <= X"00"; -- Ö
2009
        when 16#4d6# => romdata <= X"7c"; -- Ö
2010
        when 16#5d6# => romdata <= X"82"; -- Ö
2011
        when 16#6d6# => romdata <= X"82"; -- Ö
2012
        when 16#7d6# => romdata <= X"82"; -- Ö
2013
        when 16#8d6# => romdata <= X"82"; -- Ö
2014
        when 16#9d6# => romdata <= X"82"; -- Ö
2015
        when 16#ad6# => romdata <= X"7c"; -- Ö
2016
        when 16#bd6# => romdata <= X"00"; -- Ö
2017
        when 16#cd6# => romdata <= X"00"; -- Ö
2018
        when 16#0d7# => romdata <= X"00"; -- ×
2019
        when 16#1d7# => romdata <= X"00"; -- ×
2020
        when 16#2d7# => romdata <= X"00"; -- ×
2021
        when 16#3d7# => romdata <= X"00"; -- ×
2022
        when 16#4d7# => romdata <= X"42"; -- ×
2023
        when 16#5d7# => romdata <= X"24"; -- ×
2024
        when 16#6d7# => romdata <= X"18"; -- ×
2025
        when 16#7d7# => romdata <= X"18"; -- ×
2026
        when 16#8d7# => romdata <= X"24"; -- ×
2027
        when 16#9d7# => romdata <= X"42"; -- ×
2028
        when 16#ad7# => romdata <= X"00"; -- ×
2029
        when 16#bd7# => romdata <= X"00"; -- ×
2030
        when 16#cd7# => romdata <= X"00"; -- ×
2031
        when 16#0d8# => romdata <= X"00"; -- Ø
2032
        when 16#1d8# => romdata <= X"02"; -- Ø
2033
        when 16#2d8# => romdata <= X"3c"; -- Ø
2034
        when 16#3d8# => romdata <= X"46"; -- Ø
2035
        when 16#4d8# => romdata <= X"4a"; -- Ø
2036
        when 16#5d8# => romdata <= X"4a"; -- Ø
2037
        when 16#6d8# => romdata <= X"52"; -- Ø
2038
        when 16#7d8# => romdata <= X"52"; -- Ø
2039
        when 16#8d8# => romdata <= X"52"; -- Ø
2040
        when 16#9d8# => romdata <= X"62"; -- Ø
2041
        when 16#ad8# => romdata <= X"3c"; -- Ø
2042
        when 16#bd8# => romdata <= X"40"; -- Ø
2043
        when 16#cd8# => romdata <= X"00"; -- Ø
2044
        when 16#0d9# => romdata <= X"00"; -- Ù
2045
        when 16#1d9# => romdata <= X"20"; -- Ù
2046
        when 16#2d9# => romdata <= X"10"; -- Ù
2047
        when 16#3d9# => romdata <= X"00"; -- Ù
2048
        when 16#4d9# => romdata <= X"42"; -- Ù
2049
        when 16#5d9# => romdata <= X"42"; -- Ù
2050
        when 16#6d9# => romdata <= X"42"; -- Ù
2051
        when 16#7d9# => romdata <= X"42"; -- Ù
2052
        when 16#8d9# => romdata <= X"42"; -- Ù
2053
        when 16#9d9# => romdata <= X"42"; -- Ù
2054
        when 16#ad9# => romdata <= X"3c"; -- Ù
2055
        when 16#bd9# => romdata <= X"00"; -- Ù
2056
        when 16#cd9# => romdata <= X"00"; -- Ù
2057
        when 16#0da# => romdata <= X"00"; -- Ú
2058
        when 16#1da# => romdata <= X"08"; -- Ú
2059
        when 16#2da# => romdata <= X"10"; -- Ú
2060
        when 16#3da# => romdata <= X"00"; -- Ú
2061
        when 16#4da# => romdata <= X"42"; -- Ú
2062
        when 16#5da# => romdata <= X"42"; -- Ú
2063
        when 16#6da# => romdata <= X"42"; -- Ú
2064
        when 16#7da# => romdata <= X"42"; -- Ú
2065
        when 16#8da# => romdata <= X"42"; -- Ú
2066
        when 16#9da# => romdata <= X"42"; -- Ú
2067
        when 16#ada# => romdata <= X"3c"; -- Ú
2068
        when 16#bda# => romdata <= X"00"; -- Ú
2069
        when 16#cda# => romdata <= X"00"; -- Ú
2070
        when 16#0db# => romdata <= X"00"; -- Û
2071
        when 16#1db# => romdata <= X"18"; -- Û
2072
        when 16#2db# => romdata <= X"24"; -- Û
2073
        when 16#3db# => romdata <= X"00"; -- Û
2074
        when 16#4db# => romdata <= X"42"; -- Û
2075
        when 16#5db# => romdata <= X"42"; -- Û
2076
        when 16#6db# => romdata <= X"42"; -- Û
2077
        when 16#7db# => romdata <= X"42"; -- Û
2078
        when 16#8db# => romdata <= X"42"; -- Û
2079
        when 16#9db# => romdata <= X"42"; -- Û
2080
        when 16#adb# => romdata <= X"3c"; -- Û
2081
        when 16#bdb# => romdata <= X"00"; -- Û
2082
        when 16#cdb# => romdata <= X"00"; -- Û
2083
        when 16#0dc# => romdata <= X"00"; -- Ü
2084
        when 16#1dc# => romdata <= X"24"; -- Ü
2085
        when 16#2dc# => romdata <= X"24"; -- Ü
2086
        when 16#3dc# => romdata <= X"00"; -- Ü
2087
        when 16#4dc# => romdata <= X"42"; -- Ü
2088
        when 16#5dc# => romdata <= X"42"; -- Ü
2089
        when 16#6dc# => romdata <= X"42"; -- Ü
2090
        when 16#7dc# => romdata <= X"42"; -- Ü
2091
        when 16#8dc# => romdata <= X"42"; -- Ü
2092
        when 16#9dc# => romdata <= X"42"; -- Ü
2093
        when 16#adc# => romdata <= X"3c"; -- Ü
2094
        when 16#bdc# => romdata <= X"00"; -- Ü
2095
        when 16#cdc# => romdata <= X"00"; -- Ü
2096
        when 16#0dd# => romdata <= X"00"; -- Ý
2097
        when 16#1dd# => romdata <= X"08"; -- Ý
2098
        when 16#2dd# => romdata <= X"10"; -- Ý
2099
        when 16#3dd# => romdata <= X"00"; -- Ý
2100
        when 16#4dd# => romdata <= X"44"; -- Ý
2101
        when 16#5dd# => romdata <= X"44"; -- Ý
2102
        when 16#6dd# => romdata <= X"28"; -- Ý
2103
        when 16#7dd# => romdata <= X"10"; -- Ý
2104
        when 16#8dd# => romdata <= X"10"; -- Ý
2105
        when 16#9dd# => romdata <= X"10"; -- Ý
2106
        when 16#add# => romdata <= X"10"; -- Ý
2107
        when 16#bdd# => romdata <= X"00"; -- Ý
2108
        when 16#cdd# => romdata <= X"00"; -- Ý
2109
        when 16#0de# => romdata <= X"00"; -- Þ
2110
        when 16#1de# => romdata <= X"00"; -- Þ
2111
        when 16#2de# => romdata <= X"40"; -- Þ
2112
        when 16#3de# => romdata <= X"7c"; -- Þ
2113
        when 16#4de# => romdata <= X"42"; -- Þ
2114
        when 16#5de# => romdata <= X"42"; -- Þ
2115
        when 16#6de# => romdata <= X"42"; -- Þ
2116
        when 16#7de# => romdata <= X"7c"; -- Þ
2117
        when 16#8de# => romdata <= X"40"; -- Þ
2118
        when 16#9de# => romdata <= X"40"; -- Þ
2119
        when 16#ade# => romdata <= X"40"; -- Þ
2120
        when 16#bde# => romdata <= X"00"; -- Þ
2121
        when 16#cde# => romdata <= X"00"; -- Þ
2122
        when 16#0df# => romdata <= X"00"; -- ß
2123
        when 16#1df# => romdata <= X"00"; -- ß
2124
        when 16#2df# => romdata <= X"38"; -- ß
2125
        when 16#3df# => romdata <= X"44"; -- ß
2126
        when 16#4df# => romdata <= X"44"; -- ß
2127
        when 16#5df# => romdata <= X"48"; -- ß
2128
        when 16#6df# => romdata <= X"50"; -- ß
2129
        when 16#7df# => romdata <= X"4c"; -- ß
2130
        when 16#8df# => romdata <= X"42"; -- ß
2131
        when 16#9df# => romdata <= X"42"; -- ß
2132
        when 16#adf# => romdata <= X"5c"; -- ß
2133
        when 16#bdf# => romdata <= X"00"; -- ß
2134
        when 16#cdf# => romdata <= X"00"; -- ß
2135
        when 16#0e0# => romdata <= X"00"; -- à
2136
        when 16#1e0# => romdata <= X"00"; -- à
2137
        when 16#2e0# => romdata <= X"10"; -- à
2138
        when 16#3e0# => romdata <= X"08"; -- à
2139
        when 16#4e0# => romdata <= X"00"; -- à
2140
        when 16#5e0# => romdata <= X"3c"; -- à
2141
        when 16#6e0# => romdata <= X"02"; -- à
2142
        when 16#7e0# => romdata <= X"3e"; -- à
2143
        when 16#8e0# => romdata <= X"42"; -- à
2144
        when 16#9e0# => romdata <= X"46"; -- à
2145
        when 16#ae0# => romdata <= X"3a"; -- à
2146
        when 16#be0# => romdata <= X"00"; -- à
2147
        when 16#ce0# => romdata <= X"00"; -- à
2148
        when 16#0e1# => romdata <= X"00"; -- á
2149
        when 16#1e1# => romdata <= X"00"; -- á
2150
        when 16#2e1# => romdata <= X"04"; -- á
2151
        when 16#3e1# => romdata <= X"08"; -- á
2152
        when 16#4e1# => romdata <= X"00"; -- á
2153
        when 16#5e1# => romdata <= X"3c"; -- á
2154
        when 16#6e1# => romdata <= X"02"; -- á
2155
        when 16#7e1# => romdata <= X"3e"; -- á
2156
        when 16#8e1# => romdata <= X"42"; -- á
2157
        when 16#9e1# => romdata <= X"46"; -- á
2158
        when 16#ae1# => romdata <= X"3a"; -- á
2159
        when 16#be1# => romdata <= X"00"; -- á
2160
        when 16#ce1# => romdata <= X"00"; -- á
2161
        when 16#0e2# => romdata <= X"00"; -- â
2162
        when 16#1e2# => romdata <= X"00"; -- â
2163
        when 16#2e2# => romdata <= X"18"; -- â
2164
        when 16#3e2# => romdata <= X"24"; -- â
2165
        when 16#4e2# => romdata <= X"00"; -- â
2166
        when 16#5e2# => romdata <= X"3c"; -- â
2167
        when 16#6e2# => romdata <= X"02"; -- â
2168
        when 16#7e2# => romdata <= X"3e"; -- â
2169
        when 16#8e2# => romdata <= X"42"; -- â
2170
        when 16#9e2# => romdata <= X"46"; -- â
2171
        when 16#ae2# => romdata <= X"3a"; -- â
2172
        when 16#be2# => romdata <= X"00"; -- â
2173
        when 16#ce2# => romdata <= X"00"; -- â
2174
        when 16#0e3# => romdata <= X"00"; -- ã
2175
        when 16#1e3# => romdata <= X"00"; -- ã
2176
        when 16#2e3# => romdata <= X"32"; -- ã
2177
        when 16#3e3# => romdata <= X"4c"; -- ã
2178
        when 16#4e3# => romdata <= X"00"; -- ã
2179
        when 16#5e3# => romdata <= X"3c"; -- ã
2180
        when 16#6e3# => romdata <= X"02"; -- ã
2181
        when 16#7e3# => romdata <= X"3e"; -- ã
2182
        when 16#8e3# => romdata <= X"42"; -- ã
2183
        when 16#9e3# => romdata <= X"46"; -- ã
2184
        when 16#ae3# => romdata <= X"3a"; -- ã
2185
        when 16#be3# => romdata <= X"00"; -- ã
2186
        when 16#ce3# => romdata <= X"00"; -- ã
2187
        when 16#0e4# => romdata <= X"00"; -- ä
2188
        when 16#1e4# => romdata <= X"00"; -- ä
2189
        when 16#2e4# => romdata <= X"24"; -- ä
2190
        when 16#3e4# => romdata <= X"24"; -- ä
2191
        when 16#4e4# => romdata <= X"00"; -- ä
2192
        when 16#5e4# => romdata <= X"3c"; -- ä
2193
        when 16#6e4# => romdata <= X"02"; -- ä
2194
        when 16#7e4# => romdata <= X"3e"; -- ä
2195
        when 16#8e4# => romdata <= X"42"; -- ä
2196
        when 16#9e4# => romdata <= X"46"; -- ä
2197
        when 16#ae4# => romdata <= X"3a"; -- ä
2198
        when 16#be4# => romdata <= X"00"; -- ä
2199
        when 16#ce4# => romdata <= X"00"; -- ä
2200
        when 16#0e5# => romdata <= X"00"; -- å
2201
        when 16#1e5# => romdata <= X"18"; -- å
2202
        when 16#2e5# => romdata <= X"24"; -- å
2203
        when 16#3e5# => romdata <= X"18"; -- å
2204
        when 16#4e5# => romdata <= X"00"; -- å
2205
        when 16#5e5# => romdata <= X"3c"; -- å
2206
        when 16#6e5# => romdata <= X"02"; -- å
2207
        when 16#7e5# => romdata <= X"3e"; -- å
2208
        when 16#8e5# => romdata <= X"42"; -- å
2209
        when 16#9e5# => romdata <= X"46"; -- å
2210
        when 16#ae5# => romdata <= X"3a"; -- å
2211
        when 16#be5# => romdata <= X"00"; -- å
2212
        when 16#ce5# => romdata <= X"00"; -- å
2213
        when 16#0e6# => romdata <= X"00"; -- æ
2214
        when 16#1e6# => romdata <= X"00"; -- æ
2215
        when 16#2e6# => romdata <= X"00"; -- æ
2216
        when 16#3e6# => romdata <= X"00"; -- æ
2217
        when 16#4e6# => romdata <= X"00"; -- æ
2218
        when 16#5e6# => romdata <= X"6c"; -- æ
2219
        when 16#6e6# => romdata <= X"12"; -- æ
2220
        when 16#7e6# => romdata <= X"7c"; -- æ
2221
        when 16#8e6# => romdata <= X"90"; -- æ
2222
        when 16#9e6# => romdata <= X"92"; -- æ
2223
        when 16#ae6# => romdata <= X"6c"; -- æ
2224
        when 16#be6# => romdata <= X"00"; -- æ
2225
        when 16#ce6# => romdata <= X"00"; -- æ
2226
        when 16#0e7# => romdata <= X"00"; -- ç
2227
        when 16#1e7# => romdata <= X"00"; -- ç
2228
        when 16#2e7# => romdata <= X"00"; -- ç
2229
        when 16#3e7# => romdata <= X"00"; -- ç
2230
        when 16#4e7# => romdata <= X"00"; -- ç
2231
        when 16#5e7# => romdata <= X"3c"; -- ç
2232
        when 16#6e7# => romdata <= X"42"; -- ç
2233
        when 16#7e7# => romdata <= X"40"; -- ç
2234
        when 16#8e7# => romdata <= X"40"; -- ç
2235
        when 16#9e7# => romdata <= X"42"; -- ç
2236
        when 16#ae7# => romdata <= X"3c"; -- ç
2237
        when 16#be7# => romdata <= X"08"; -- ç
2238
        when 16#ce7# => romdata <= X"10"; -- ç
2239
        when 16#0e8# => romdata <= X"00"; -- è
2240
        when 16#1e8# => romdata <= X"00"; -- è
2241
        when 16#2e8# => romdata <= X"10"; -- è
2242
        when 16#3e8# => romdata <= X"08"; -- è
2243
        when 16#4e8# => romdata <= X"00"; -- è
2244
        when 16#5e8# => romdata <= X"3c"; -- è
2245
        when 16#6e8# => romdata <= X"42"; -- è
2246
        when 16#7e8# => romdata <= X"7e"; -- è
2247
        when 16#8e8# => romdata <= X"40"; -- è
2248
        when 16#9e8# => romdata <= X"42"; -- è
2249
        when 16#ae8# => romdata <= X"3c"; -- è
2250
        when 16#be8# => romdata <= X"00"; -- è
2251
        when 16#ce8# => romdata <= X"00"; -- è
2252
        when 16#0e9# => romdata <= X"00"; -- é
2253
        when 16#1e9# => romdata <= X"00"; -- é
2254
        when 16#2e9# => romdata <= X"08"; -- é
2255
        when 16#3e9# => romdata <= X"10"; -- é
2256
        when 16#4e9# => romdata <= X"00"; -- é
2257
        when 16#5e9# => romdata <= X"3c"; -- é
2258
        when 16#6e9# => romdata <= X"42"; -- é
2259
        when 16#7e9# => romdata <= X"7e"; -- é
2260
        when 16#8e9# => romdata <= X"40"; -- é
2261
        when 16#9e9# => romdata <= X"42"; -- é
2262
        when 16#ae9# => romdata <= X"3c"; -- é
2263
        when 16#be9# => romdata <= X"00"; -- é
2264
        when 16#ce9# => romdata <= X"00"; -- é
2265
        when 16#0ea# => romdata <= X"00"; -- ê
2266
        when 16#1ea# => romdata <= X"00"; -- ê
2267
        when 16#2ea# => romdata <= X"18"; -- ê
2268
        when 16#3ea# => romdata <= X"24"; -- ê
2269
        when 16#4ea# => romdata <= X"00"; -- ê
2270
        when 16#5ea# => romdata <= X"3c"; -- ê
2271
        when 16#6ea# => romdata <= X"42"; -- ê
2272
        when 16#7ea# => romdata <= X"7e"; -- ê
2273
        when 16#8ea# => romdata <= X"40"; -- ê
2274
        when 16#9ea# => romdata <= X"42"; -- ê
2275
        when 16#aea# => romdata <= X"3c"; -- ê
2276
        when 16#bea# => romdata <= X"00"; -- ê
2277
        when 16#cea# => romdata <= X"00"; -- ê
2278
        when 16#0eb# => romdata <= X"00"; -- ë
2279
        when 16#1eb# => romdata <= X"00"; -- ë
2280
        when 16#2eb# => romdata <= X"24"; -- ë
2281
        when 16#3eb# => romdata <= X"24"; -- ë
2282
        when 16#4eb# => romdata <= X"00"; -- ë
2283
        when 16#5eb# => romdata <= X"3c"; -- ë
2284
        when 16#6eb# => romdata <= X"42"; -- ë
2285
        when 16#7eb# => romdata <= X"7e"; -- ë
2286
        when 16#8eb# => romdata <= X"40"; -- ë
2287
        when 16#9eb# => romdata <= X"42"; -- ë
2288
        when 16#aeb# => romdata <= X"3c"; -- ë
2289
        when 16#beb# => romdata <= X"00"; -- ë
2290
        when 16#ceb# => romdata <= X"00"; -- ë
2291
        when 16#0ec# => romdata <= X"00"; -- ì
2292
        when 16#1ec# => romdata <= X"00"; -- ì
2293
        when 16#2ec# => romdata <= X"20"; -- ì
2294
        when 16#3ec# => romdata <= X"10"; -- ì
2295
        when 16#4ec# => romdata <= X"00"; -- ì
2296
        when 16#5ec# => romdata <= X"30"; -- ì
2297
        when 16#6ec# => romdata <= X"10"; -- ì
2298
        when 16#7ec# => romdata <= X"10"; -- ì
2299
        when 16#8ec# => romdata <= X"10"; -- ì
2300
        when 16#9ec# => romdata <= X"10"; -- ì
2301
        when 16#aec# => romdata <= X"7c"; -- ì
2302
        when 16#bec# => romdata <= X"00"; -- ì
2303
        when 16#cec# => romdata <= X"00"; -- ì
2304
        when 16#0ed# => romdata <= X"00"; -- í
2305
        when 16#1ed# => romdata <= X"00"; -- í
2306
        when 16#2ed# => romdata <= X"10"; -- í
2307
        when 16#3ed# => romdata <= X"20"; -- í
2308
        when 16#4ed# => romdata <= X"00"; -- í
2309
        when 16#5ed# => romdata <= X"30"; -- í
2310
        when 16#6ed# => romdata <= X"10"; -- í
2311
        when 16#7ed# => romdata <= X"10"; -- í
2312
        when 16#8ed# => romdata <= X"10"; -- í
2313
        when 16#9ed# => romdata <= X"10"; -- í
2314
        when 16#aed# => romdata <= X"7c"; -- í
2315
        when 16#bed# => romdata <= X"00"; -- í
2316
        when 16#ced# => romdata <= X"00"; -- í
2317
        when 16#0ee# => romdata <= X"00"; -- î
2318
        when 16#1ee# => romdata <= X"00"; -- î
2319
        when 16#2ee# => romdata <= X"30"; -- î
2320
        when 16#3ee# => romdata <= X"48"; -- î
2321
        when 16#4ee# => romdata <= X"00"; -- î
2322
        when 16#5ee# => romdata <= X"30"; -- î
2323
        when 16#6ee# => romdata <= X"10"; -- î
2324
        when 16#7ee# => romdata <= X"10"; -- î
2325
        when 16#8ee# => romdata <= X"10"; -- î
2326
        when 16#9ee# => romdata <= X"10"; -- î
2327
        when 16#aee# => romdata <= X"7c"; -- î
2328
        when 16#bee# => romdata <= X"00"; -- î
2329
        when 16#cee# => romdata <= X"00"; -- î
2330
        when 16#0ef# => romdata <= X"00"; -- ï
2331
        when 16#1ef# => romdata <= X"00"; -- ï
2332
        when 16#2ef# => romdata <= X"48"; -- ï
2333
        when 16#3ef# => romdata <= X"48"; -- ï
2334
        when 16#4ef# => romdata <= X"00"; -- ï
2335
        when 16#5ef# => romdata <= X"30"; -- ï
2336
        when 16#6ef# => romdata <= X"10"; -- ï
2337
        when 16#7ef# => romdata <= X"10"; -- ï
2338
        when 16#8ef# => romdata <= X"10"; -- ï
2339
        when 16#9ef# => romdata <= X"10"; -- ï
2340
        when 16#aef# => romdata <= X"7c"; -- ï
2341
        when 16#bef# => romdata <= X"00"; -- ï
2342
        when 16#cef# => romdata <= X"00"; -- ï
2343
        when 16#0f0# => romdata <= X"00"; -- ð
2344
        when 16#1f0# => romdata <= X"24"; -- ð
2345
        when 16#2f0# => romdata <= X"18"; -- ð
2346
        when 16#3f0# => romdata <= X"28"; -- ð
2347
        when 16#4f0# => romdata <= X"04"; -- ð
2348
        when 16#5f0# => romdata <= X"3c"; -- ð
2349
        when 16#6f0# => romdata <= X"42"; -- ð
2350
        when 16#7f0# => romdata <= X"42"; -- ð
2351
        when 16#8f0# => romdata <= X"42"; -- ð
2352
        when 16#9f0# => romdata <= X"42"; -- ð
2353
        when 16#af0# => romdata <= X"3c"; -- ð
2354
        when 16#bf0# => romdata <= X"00"; -- ð
2355
        when 16#cf0# => romdata <= X"00"; -- ð
2356
        when 16#0f1# => romdata <= X"00"; -- ñ
2357
        when 16#1f1# => romdata <= X"00"; -- ñ
2358
        when 16#2f1# => romdata <= X"32"; -- ñ
2359
        when 16#3f1# => romdata <= X"4c"; -- ñ
2360
        when 16#4f1# => romdata <= X"00"; -- ñ
2361
        when 16#5f1# => romdata <= X"5c"; -- ñ
2362
        when 16#6f1# => romdata <= X"62"; -- ñ
2363
        when 16#7f1# => romdata <= X"42"; -- ñ
2364
        when 16#8f1# => romdata <= X"42"; -- ñ
2365
        when 16#9f1# => romdata <= X"42"; -- ñ
2366
        when 16#af1# => romdata <= X"42"; -- ñ
2367
        when 16#bf1# => romdata <= X"00"; -- ñ
2368
        when 16#cf1# => romdata <= X"00"; -- ñ
2369
        when 16#0f2# => romdata <= X"00"; -- ò
2370
        when 16#1f2# => romdata <= X"00"; -- ò
2371
        when 16#2f2# => romdata <= X"20"; -- ò
2372
        when 16#3f2# => romdata <= X"10"; -- ò
2373
        when 16#4f2# => romdata <= X"00"; -- ò
2374
        when 16#5f2# => romdata <= X"3c"; -- ò
2375
        when 16#6f2# => romdata <= X"42"; -- ò
2376
        when 16#7f2# => romdata <= X"42"; -- ò
2377
        when 16#8f2# => romdata <= X"42"; -- ò
2378
        when 16#9f2# => romdata <= X"42"; -- ò
2379
        when 16#af2# => romdata <= X"3c"; -- ò
2380
        when 16#bf2# => romdata <= X"00"; -- ò
2381
        when 16#cf2# => romdata <= X"00"; -- ò
2382
        when 16#0f3# => romdata <= X"00"; -- ó
2383
        when 16#1f3# => romdata <= X"00"; -- ó
2384
        when 16#2f3# => romdata <= X"08"; -- ó
2385
        when 16#3f3# => romdata <= X"10"; -- ó
2386
        when 16#4f3# => romdata <= X"00"; -- ó
2387
        when 16#5f3# => romdata <= X"3c"; -- ó
2388
        when 16#6f3# => romdata <= X"42"; -- ó
2389
        when 16#7f3# => romdata <= X"42"; -- ó
2390
        when 16#8f3# => romdata <= X"42"; -- ó
2391
        when 16#9f3# => romdata <= X"42"; -- ó
2392
        when 16#af3# => romdata <= X"3c"; -- ó
2393
        when 16#bf3# => romdata <= X"00"; -- ó
2394
        when 16#cf3# => romdata <= X"00"; -- ó
2395
        when 16#0f4# => romdata <= X"00"; -- ô
2396
        when 16#1f4# => romdata <= X"00"; -- ô
2397
        when 16#2f4# => romdata <= X"18"; -- ô
2398
        when 16#3f4# => romdata <= X"24"; -- ô
2399
        when 16#4f4# => romdata <= X"00"; -- ô
2400
        when 16#5f4# => romdata <= X"3c"; -- ô
2401
        when 16#6f4# => romdata <= X"42"; -- ô
2402
        when 16#7f4# => romdata <= X"42"; -- ô
2403
        when 16#8f4# => romdata <= X"42"; -- ô
2404
        when 16#9f4# => romdata <= X"42"; -- ô
2405
        when 16#af4# => romdata <= X"3c"; -- ô
2406
        when 16#bf4# => romdata <= X"00"; -- ô
2407
        when 16#cf4# => romdata <= X"00"; -- ô
2408
        when 16#0f5# => romdata <= X"00"; -- õ
2409
        when 16#1f5# => romdata <= X"00"; -- õ
2410
        when 16#2f5# => romdata <= X"32"; -- õ
2411
        when 16#3f5# => romdata <= X"4c"; -- õ
2412
        when 16#4f5# => romdata <= X"00"; -- õ
2413
        when 16#5f5# => romdata <= X"3c"; -- õ
2414
        when 16#6f5# => romdata <= X"42"; -- õ
2415
        when 16#7f5# => romdata <= X"42"; -- õ
2416
        when 16#8f5# => romdata <= X"42"; -- õ
2417
        when 16#9f5# => romdata <= X"42"; -- õ
2418
        when 16#af5# => romdata <= X"3c"; -- õ
2419
        when 16#bf5# => romdata <= X"00"; -- õ
2420
        when 16#cf5# => romdata <= X"00"; -- õ
2421
        when 16#0f6# => romdata <= X"00"; -- ö
2422
        when 16#1f6# => romdata <= X"00"; -- ö
2423
        when 16#2f6# => romdata <= X"24"; -- ö
2424
        when 16#3f6# => romdata <= X"24"; -- ö
2425
        when 16#4f6# => romdata <= X"00"; -- ö
2426
        when 16#5f6# => romdata <= X"3c"; -- ö
2427
        when 16#6f6# => romdata <= X"42"; -- ö
2428
        when 16#7f6# => romdata <= X"42"; -- ö
2429
        when 16#8f6# => romdata <= X"42"; -- ö
2430
        when 16#9f6# => romdata <= X"42"; -- ö
2431
        when 16#af6# => romdata <= X"3c"; -- ö
2432
        when 16#bf6# => romdata <= X"00"; -- ö
2433
        when 16#cf6# => romdata <= X"00"; -- ö
2434
        when 16#0f7# => romdata <= X"00"; -- ÷
2435
        when 16#1f7# => romdata <= X"00"; -- ÷
2436
        when 16#2f7# => romdata <= X"00"; -- ÷
2437
        when 16#3f7# => romdata <= X"10"; -- ÷
2438
        when 16#4f7# => romdata <= X"10"; -- ÷
2439
        when 16#5f7# => romdata <= X"00"; -- ÷
2440
        when 16#6f7# => romdata <= X"7c"; -- ÷
2441
        when 16#7f7# => romdata <= X"00"; -- ÷
2442
        when 16#8f7# => romdata <= X"10"; -- ÷
2443
        when 16#9f7# => romdata <= X"10"; -- ÷
2444
        when 16#af7# => romdata <= X"00"; -- ÷
2445
        when 16#bf7# => romdata <= X"00"; -- ÷
2446
        when 16#cf7# => romdata <= X"00"; -- ÷
2447
        when 16#0f8# => romdata <= X"00"; -- ø
2448
        when 16#1f8# => romdata <= X"00"; -- ø
2449
        when 16#2f8# => romdata <= X"00"; -- ø
2450
        when 16#3f8# => romdata <= X"00"; -- ø
2451
        when 16#4f8# => romdata <= X"02"; -- ø
2452
        when 16#5f8# => romdata <= X"3c"; -- ø
2453
        when 16#6f8# => romdata <= X"46"; -- ø
2454
        when 16#7f8# => romdata <= X"4a"; -- ø
2455
        when 16#8f8# => romdata <= X"52"; -- ø
2456
        when 16#9f8# => romdata <= X"62"; -- ø
2457
        when 16#af8# => romdata <= X"3c"; -- ø
2458
        when 16#bf8# => romdata <= X"40"; -- ø
2459
        when 16#cf8# => romdata <= X"00"; -- ø
2460
        when 16#0f9# => romdata <= X"00"; -- ù
2461
        when 16#1f9# => romdata <= X"00"; -- ù
2462
        when 16#2f9# => romdata <= X"20"; -- ù
2463
        when 16#3f9# => romdata <= X"10"; -- ù
2464
        when 16#4f9# => romdata <= X"00"; -- ù
2465
        when 16#5f9# => romdata <= X"44"; -- ù
2466
        when 16#6f9# => romdata <= X"44"; -- ù
2467
        when 16#7f9# => romdata <= X"44"; -- ù
2468
        when 16#8f9# => romdata <= X"44"; -- ù
2469
        when 16#9f9# => romdata <= X"44"; -- ù
2470
        when 16#af9# => romdata <= X"3a"; -- ù
2471
        when 16#bf9# => romdata <= X"00"; -- ù
2472
        when 16#cf9# => romdata <= X"00"; -- ù
2473
        when 16#0fa# => romdata <= X"00"; -- ú
2474
        when 16#1fa# => romdata <= X"00"; -- ú
2475
        when 16#2fa# => romdata <= X"08"; -- ú
2476
        when 16#3fa# => romdata <= X"10"; -- ú
2477
        when 16#4fa# => romdata <= X"00"; -- ú
2478
        when 16#5fa# => romdata <= X"44"; -- ú
2479
        when 16#6fa# => romdata <= X"44"; -- ú
2480
        when 16#7fa# => romdata <= X"44"; -- ú
2481
        when 16#8fa# => romdata <= X"44"; -- ú
2482
        when 16#9fa# => romdata <= X"44"; -- ú
2483
        when 16#afa# => romdata <= X"3a"; -- ú
2484
        when 16#bfa# => romdata <= X"00"; -- ú
2485
        when 16#cfa# => romdata <= X"00"; -- ú
2486
        when 16#0fb# => romdata <= X"00"; -- û
2487
        when 16#1fb# => romdata <= X"00"; -- û
2488
        when 16#2fb# => romdata <= X"18"; -- û
2489
        when 16#3fb# => romdata <= X"24"; -- û
2490
        when 16#4fb# => romdata <= X"00"; -- û
2491
        when 16#5fb# => romdata <= X"44"; -- û
2492
        when 16#6fb# => romdata <= X"44"; -- û
2493
        when 16#7fb# => romdata <= X"44"; -- û
2494
        when 16#8fb# => romdata <= X"44"; -- û
2495
        when 16#9fb# => romdata <= X"44"; -- û
2496
        when 16#afb# => romdata <= X"3a"; -- û
2497
        when 16#bfb# => romdata <= X"00"; -- û
2498
        when 16#cfb# => romdata <= X"00"; -- û
2499
        when 16#0fc# => romdata <= X"00"; -- ü
2500
        when 16#1fc# => romdata <= X"00"; -- ü
2501
        when 16#2fc# => romdata <= X"28"; -- ü
2502
        when 16#3fc# => romdata <= X"28"; -- ü
2503
        when 16#4fc# => romdata <= X"00"; -- ü
2504
        when 16#5fc# => romdata <= X"44"; -- ü
2505
        when 16#6fc# => romdata <= X"44"; -- ü
2506
        when 16#7fc# => romdata <= X"44"; -- ü
2507
        when 16#8fc# => romdata <= X"44"; -- ü
2508
        when 16#9fc# => romdata <= X"44"; -- ü
2509
        when 16#afc# => romdata <= X"3a"; -- ü
2510
        when 16#bfc# => romdata <= X"00"; -- ü
2511
        when 16#cfc# => romdata <= X"00"; -- ü
2512
        when 16#0fd# => romdata <= X"00"; -- ý
2513
        when 16#1fd# => romdata <= X"00"; -- ý
2514
        when 16#2fd# => romdata <= X"08"; -- ý
2515
        when 16#3fd# => romdata <= X"10"; -- ý
2516
        when 16#4fd# => romdata <= X"00"; -- ý
2517
        when 16#5fd# => romdata <= X"42"; -- ý
2518
        when 16#6fd# => romdata <= X"42"; -- ý
2519
        when 16#7fd# => romdata <= X"42"; -- ý
2520
        when 16#8fd# => romdata <= X"46"; -- ý
2521
        when 16#9fd# => romdata <= X"3a"; -- ý
2522
        when 16#afd# => romdata <= X"02"; -- ý
2523
        when 16#bfd# => romdata <= X"42"; -- ý
2524
        when 16#cfd# => romdata <= X"3c"; -- ý
2525
        when 16#0fe# => romdata <= X"00"; -- þ
2526
        when 16#1fe# => romdata <= X"00"; -- þ
2527
        when 16#2fe# => romdata <= X"00"; -- þ
2528
        when 16#3fe# => romdata <= X"40"; -- þ
2529
        when 16#4fe# => romdata <= X"40"; -- þ
2530
        when 16#5fe# => romdata <= X"5c"; -- þ
2531
        when 16#6fe# => romdata <= X"62"; -- þ
2532
        when 16#7fe# => romdata <= X"42"; -- þ
2533
        when 16#8fe# => romdata <= X"42"; -- þ
2534
        when 16#9fe# => romdata <= X"62"; -- þ
2535
        when 16#afe# => romdata <= X"5c"; -- þ
2536
        when 16#bfe# => romdata <= X"40"; -- þ
2537
        when 16#cfe# => romdata <= X"40"; -- þ
2538
        when 16#0ff# => romdata <= X"00"; -- ÿ
2539
        when 16#1ff# => romdata <= X"00"; -- ÿ
2540
        when 16#2ff# => romdata <= X"24"; -- ÿ
2541
        when 16#3ff# => romdata <= X"24"; -- ÿ
2542
        when 16#4ff# => romdata <= X"00"; -- ÿ
2543
        when 16#5ff# => romdata <= X"42"; -- ÿ
2544
        when 16#6ff# => romdata <= X"42"; -- ÿ
2545
        when 16#7ff# => romdata <= X"42"; -- ÿ
2546
        when 16#8ff# => romdata <= X"46"; -- ÿ
2547
        when 16#9ff# => romdata <= X"3a"; -- ÿ
2548
        when 16#aff# => romdata <= X"02"; -- ÿ
2549
        when 16#bff# => romdata <= X"42"; -- ÿ
2550
        when 16#cff# => romdata <= X"3c"; -- ÿ
2551
        when others => romdata <= (others => '0');
2552
end case;
2553
end process;
2554
 
2555
end architecture;
2556
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.