OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gleichmann/] [ahb2hpi/] [hpi_p.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.amba.all;
5
 
6
package hpi is
7
 
8
  component ahb2hpi2
9
    generic (
10
      counter_width : integer;
11
      data_width    : integer;
12
      address_width : integer;
13
      hindex        : integer;
14
      haddr         : integer;
15
      hmask         : integer);
16
    port (
17
      HCLK      : in  std_ulogic;
18
      HRESETn   : in  std_ulogic;
19
      ahbso     : out ahb_slv_out_type;
20
      ahbsi     : in  ahb_slv_in_type;
21
      ADDR      : out std_logic_vector(address_width-1 downto 0);
22
      WDATA     : out std_logic_vector(data_width-1 downto 0);
23
      RDATA     : in  std_logic_vector(data_width-1 downto 0);
24
      nCS       : out std_ulogic;
25
      nWR       : out std_ulogic;
26
      nRD       : out std_ulogic;
27
      INT       : in  std_ulogic;
28
      drive_bus : out std_ulogic;
29
      dbg_equal : out std_ulogic);
30
  end component;
31
 
32
 
33
  component hpi_ram
34
    generic (
35
      abits : integer;
36
      dbits : integer);
37
    port (
38
      clk     : in  std_ulogic;
39
      address : in  std_logic_vector(1 downto 0);
40
      datain  : in  std_logic_vector(dbits-1 downto 0);
41
      dataout : out std_logic_vector(dbits-1 downto 0);
42
      writen  : in  std_ulogic;
43
      readn   : in  std_ulogic;
44
      csn     : in  std_ulogic);
45
  end component;
46
 
47
 
48
end package hpi;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.