OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [grlib/] [amba/] [amba.in.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
-- AMBA settings
2
  constant CFG_DEFMST     : integer := CONFIG_AHB_DEFMST;
3
  constant CFG_RROBIN     : integer := CONFIG_AHB_RROBIN;
4
  constant CFG_SPLIT      : integer := CONFIG_AHB_SPLIT;
5
  constant CFG_AHBIO      : integer := 16#CONFIG_AHB_IOADDR#;
6
  constant CFG_APBADDR    : integer := 16#CONFIG_APB_HADDR#;
7
  constant CFG_AHB_MON    : integer := CONFIG_AHB_MON;
8
  constant CFG_AHB_MONERR : integer := CONFIG_AHB_MONERR;
9
  constant CFG_AHB_MONWAR : integer := CONFIG_AHB_MONWAR;
10
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.