OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [cycloneiii/] [alt/] [admout.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library cycloneiii;
8
use cycloneiii.all;
9
library altera;
10
use altera.all;
11
 
12
entity admout is
13
  port(
14
    clk       : in  std_logic; -- clk0
15
    dm_h      : in  std_logic;
16
    dm_l      : in  std_logic;
17
    dm_pad    : out std_logic  -- DQ pad
18
  );
19
end;
20
architecture rtl of admout is
21
 
22
component cycloneiii_ddio_out
23
  generic(
24
    power_up                           :  string := "low";
25
    async_mode                         :  string := "none";
26
    sync_mode                          :  string := "none";
27
    lpm_type                           :  string := "stratixiii_ddio_out"
28
  );
29
  port (
30
    datainlo                : in std_logic := '0';
31
    datainhi                : in std_logic := '0';
32
    clk                     : in std_logic := '0';
33
    ena                     : in std_logic := '1';
34
    areset                  : in std_logic := '0';
35
    sreset                  : in std_logic := '0';
36
    dataout                 : out std_logic;
37
    dfflo                   : out std_logic;
38
    dffhi                   : out std_logic-- ;         
39
    --devclrn                 : in std_logic := '1';   
40
    --devpor                  : in std_logic := '1'   
41
  );
42
end component;
43
 
44
component  cycloneiii_io_obuf
45
  generic(
46
    bus_hold    :       string := "false";
47
    open_drain_output   :       string := "false";
48
    lpm_type    :       string := "cycloneiii_io_obuf"
49
  );
50
  port(
51
    i   :       in std_logic := '0';
52
    oe  :       in std_logic := '1';
53
    --devoe : in std_logic := '1';
54
    o   :       out std_logic;
55
    obar        :       out std_logic--;
56
    --seriesterminationcontrol  :       in std_logic_vector(15 downto 0) := (others => '0')
57
  );
58
end component;
59
 
60
signal vcc      : std_logic;
61
signal gnd      : std_logic_vector(13 downto 0);
62
signal dm_reg   : std_logic;
63
begin
64
  vcc <= '1'; gnd <= (others => '0');
65
 
66
-- DM output register --------------------------------------------------------------
67
 
68
  dm_reg0 : cycloneiii_ddio_out
69
    generic map(
70
      power_up               => "high",
71
      async_mode             => "none",
72
      sync_mode              => "none",
73
      lpm_type               => "cycloneiii_ddio_out"
74
    )
75
    port map(
76
      datainlo => dm_l,
77
      datainhi => dm_h,
78
      clk      => clk,
79
      ena      => vcc,
80
      areset   => gnd(0),
81
      sreset   => gnd(0),
82
      dataout  => dm_reg--,   
83
      --dfflo    => open,   
84
      --dffhi    => open,    
85
      --devclrn  => vcc,   
86
      --devpor   => vcc  
87
    );
88
 
89
-- Out buffer (DM) ------------------------------------------------------------------
90
 
91
  dm_buf0 : cycloneiii_io_obuf
92
    generic map(
93
      open_drain_output                => "false",
94
      bus_hold                         => "false",
95
      lpm_type                         => "cycloneiii_io_obuf"
96
    )
97
    port map(
98
      i                          => dm_reg,
99
      oe                         => vcc,
100
      --devoe                      => vcc,
101
      o                          => dm_pad,
102
      obar                       => open
103
      --seriesterminationcontrol   => gnd, 
104
    );
105
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.