OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [cycloneiii/] [alt/] [adqout.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library cycloneiii;
8
use cycloneiii.all;
9
library altera;
10
use altera.all;
11
 
12
entity adqout is
13
  port(
14
    clk       : in  std_logic; -- clk0
15
    clk_oct   : in  std_logic; -- clk90
16
    dq_h      : in  std_logic;
17
    dq_l      : in  std_logic;
18
    dq_oe     : in  std_logic;
19
    dq_oct    : in  std_logic; -- gnd = disable
20
    dq_pad    : out std_logic  -- DQ pad
21
  );
22
end;
23
architecture rtl of adqout is
24
 
25
component cycloneiii_ddio_out
26
  generic(
27
    power_up                           :  string := "low";
28
    async_mode                         :  string := "none";
29
    sync_mode                          :  string := "none";
30
    lpm_type                           :  string := "cycloneiii_ddio_out"
31
  );
32
  port (
33
    datainlo                : in std_logic := '0';
34
    datainhi                : in std_logic := '0';
35
    clk                     : in std_logic := '0';
36
    ena                     : in std_logic := '1';
37
    areset                  : in std_logic := '0';
38
    sreset                  : in std_logic := '0';
39
    dataout                 : out std_logic;
40
    dfflo                   : out std_logic;
41
    dffhi                   : out std_logic-- ;         
42
    --devclrn                 : in std_logic := '1';   
43
    --devpor                  : in std_logic := '1'   
44
  );
45
end component;
46
 
47
component  cycloneiii_io_obuf
48
  generic(
49
    bus_hold    :       string := "false";
50
    open_drain_output   :       string := "false";
51
    lpm_type    :       string := "cycloneiii_io_obuf"
52
  );
53
  port(
54
    i   :       in std_logic := '0';
55
    oe  :       in std_logic := '1';
56
    --devoe : in std_logic := '1';
57
    o   :       out std_logic;
58
    obar        :       out std_logic--;
59
    --seriesterminationcontrol  :       in std_logic_vector(15 downto 0) := (others => '0')
60
  );
61
end component;
62
 
63
component cycloneiii_ddio_oe is
64
  generic(
65
    power_up              :  string := "low";
66
    async_mode            :  string := "none";
67
    sync_mode             :  string := "none";
68
    lpm_type              :  string := "cycloneiii_ddio_oe"
69
  );
70
  port (
71
    oe                      : IN std_logic := '1';
72
    clk                     : IN std_logic := '0';
73
    ena                     : IN std_logic := '1';
74
    areset                  : IN std_logic := '0';
75
    sreset                  : IN std_logic := '0';
76
    dataout                 : OUT std_logic--;         
77
    --dfflo                   : OUT std_logic;         
78
    --dffhi                   : OUT std_logic;         
79
    --devclrn                 : IN std_logic := '1';               
80
    --devpor                  : IN std_logic := '1'
81
  );
82
end component;
83
 
84
 
85
component DFF is
86
  port(
87
    d, clk, clrn, prn :  in  std_logic;
88
    q                 :  out std_logic);
89
end component;
90
 
91
signal vcc      : std_logic;
92
signal gnd      : std_logic_vector(13 downto 0);
93
signal dq_reg   : std_logic;
94
signal dq_oe_reg, dq_oe_reg_n, dq_oct_reg : std_logic;
95
 
96
attribute syn_keep : boolean;
97
attribute syn_preserve : boolean;
98
attribute syn_keep of dq_oe_reg : signal is true;
99
attribute syn_preserve of dq_oe_reg : signal is true;
100
attribute syn_keep of dq_oe_reg_n : signal is true;
101
attribute syn_preserve of dq_oe_reg_n : signal is true;
102
 
103
begin
104
  vcc <= '1'; gnd <= (others => '0');
105
 
106
-- DQ output register --------------------------------------------------------------
107
 
108
  dq_reg0 : cycloneiii_ddio_out
109
    generic map(
110
      power_up               => "high",
111
      async_mode             => "none",
112
      sync_mode              => "none",
113
      lpm_type               => "cycloneiii_ddio_out"
114
    )
115
    port map(
116
      datainlo => dq_l,
117
      datainhi => dq_h,
118
      clk      => clk,
119
      ena      => vcc,
120
      areset   => gnd(0),
121
      sreset   => gnd(0),
122
      dataout  => dq_reg--,   
123
      --dfflo    => open,   
124
      --dffhi    => open,    
125
      --devclrn  => vcc,   
126
      --devpor   => vcc  
127
    );
128
 
129
-- Outout enable and oct for DQ -----------------------------------------------------
130
 
131
--  dq_oe_reg0 : stratixiii_ddio_oe
132
--    generic map(
133
--      power_up    => "low",    
134
--      async_mode  => "none",    
135
--      sync_mode   => "none",
136
--      lpm_type    => "stratixiii_ddio_oe"
137
--    )
138
--    port map(
139
--      oe        => dq_oe,
140
--      clk       => clk,
141
--      ena       => vcc,
142
--      areset    => gnd(0),
143
--      sreset    => gnd(0),
144
--      dataout   => dq_oe_reg--,
145
--      --dfflo   => open,
146
--      --dffhi   => open,
147
--      --devclrn => vcc,
148
--      --devpor  => vcc
149
--    );
150
 
151
--  dq_oe_reg0 : dff
152
--    port map(
153
--      d         => dq_oe,
154
--      clk       => clk,
155
--      clrn      => vcc,
156
--      prn       => vcc,
157
--      q         => dq_oe_reg
158
--    );
159
 
160
  dq_oe_reg0 : process(clk)
161
  begin if rising_edge(clk) then dq_oe_reg <= not dq_oe; end if; end process;
162
 
163
  dq_oe_reg_n <= not dq_oe_reg;
164
 
165
--  dq_oct_reg0 : cycloneiii_ddio_oe
166
--    generic map(
167
--      power_up    => "low",    
168
--      async_mode  => "none",    
169
--      sync_mode   => "none",
170
--      lpm_type    => "cycloneiii_ddio_oe"
171
--    )
172
--    port map(
173
--      oe        => dq_oct,
174
--      clk       => clk_oct,
175
--      ena       => vcc,
176
--      areset    => gnd(0),
177
--      sreset    => gnd(0),
178
--      dataout   => dq_oct_reg--,
179
--      --dfflo   => open,
180
--      --dffhi   => open,
181
--      --devclrn => vcc,
182
--      --devpor  => vcc
183
--    );
184
 
185
-- Out buffer (DQ) ------------------------------------------------------------------
186
 
187
  dq_buf0 : cycloneiii_io_obuf
188
    generic map(
189
      open_drain_output                => "false",
190
      bus_hold                         => "false",
191
      lpm_type                         => "cycloneiii_io_obuf"
192
    )
193
    port map(
194
      i                          => dq_reg,
195
      oe                         => dq_oe_reg,--_n,                                                 
196
      --devoe                      => vcc,
197
      o                          => dq_pad,
198
      obar                       => open
199
      --seriesterminationcontrol   => gnd, 
200
    );
201
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.