OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [cycloneiii/] [alt/] [adqsout.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library cycloneiii;
8
use cycloneiii.all;
9
 
10
entity adqsout is
11
  port(
12
    clk       : in  std_logic; -- clk90
13
    dqs       : in  std_logic;
14
    dqs_oe    : in  std_logic;
15
    dqs_oct   : in  std_logic; -- gnd = disable
16
    dqs_pad   : out std_logic; -- DQS pad
17
    dqsn_pad  : out std_logic  -- DQSN pad
18
  );
19
end;
20
architecture rtl of adqsout is
21
 
22
component cycloneiii_ddio_out
23
  generic(
24
    power_up                           :  string := "low";
25
    async_mode                         :  string := "none";
26
    sync_mode                          :  string := "none";
27
    lpm_type                           :  string := "cycloneiii_ddio_out"
28
  );
29
  port (
30
    datainlo                : in std_logic := '0';
31
    datainhi                : in std_logic := '0';
32
    clk                     : in std_logic := '0';
33
    ena                     : in std_logic := '1';
34
    areset                  : in std_logic := '0';
35
    sreset                  : in std_logic := '0';
36
    dataout                 : out std_logic;
37
    dfflo                   : out std_logic;
38
    dffhi                   : out std_logic-- ;         
39
    --devclrn                 : in std_logic := '1';   
40
    --devpor                  : in std_logic := '1'   
41
  );
42
end component;
43
 
44
component cycloneiii_ddio_oe is
45
  generic(
46
    power_up              :  string := "low";
47
    async_mode            :  string := "none";
48
    sync_mode             :  string := "none";
49
    lpm_type              :  string := "cycloneiii_ddio_oe"
50
  );
51
  port (
52
    oe                      : IN std_logic := '1';
53
    clk                     : IN std_logic := '0';
54
    ena                     : IN std_logic := '1';
55
    areset                  : IN std_logic := '0';
56
    sreset                  : IN std_logic := '0';
57
    dataout                 : OUT std_logic--;         
58
    --dfflo                   : OUT std_logic;         
59
    --dffhi                   : OUT std_logic;         
60
    --devclrn                 : IN std_logic := '1';               
61
    --devpor                  : IN std_logic := '1'
62
  );
63
end component;
64
 
65
component  cycloneiii_io_obuf
66
  generic(
67
    bus_hold    :       string := "false";
68
    open_drain_output   :       string := "false";
69
    lpm_type    :       string := "cycloneiii_io_obuf"
70
  );
71
  port(
72
    i   :       in std_logic := '0';
73
    oe  :       in std_logic := '1';
74
    --devoe : in std_logic := '1';
75
    o   :       out std_logic;
76
    obar        :       out std_logic--;
77
    --seriesterminationcontrol  :       in std_logic_vector(15 downto 0) := (others => '0')
78
  );
79
end component;
80
 
81
signal vcc      : std_logic;
82
signal gnd      : std_logic_vector(13 downto 0);
83
signal dqs_reg, dqs_buf, dqsn_buf, dqs_oe_n : std_logic;
84
signal dqs_oe_reg, dqs_oe_reg_n, dqs_oct_reg : std_logic;
85
signal dqsn_oe_reg, dqsn_oe_reg_n, dqsn_oct_reg : std_logic;
86
begin
87
  vcc <= '1'; gnd <= (others => '0');
88
 
89
-- DQS output register --------------------------------------------------------------
90
 
91
  dqs_reg0 : cycloneiii_ddio_out
92
    generic map(
93
      power_up               => "high",
94
      async_mode             => "none",
95
      sync_mode              => "none",
96
      lpm_type               => "cycloneiii_ddio_out"
97
    )
98
    port map(
99
      datainlo => gnd(0),
100
      datainhi => dqs,
101
      clk      => clk,
102
      ena      => vcc,
103
      areset   => gnd(0),
104
      sreset   => gnd(0),
105
      dataout  => dqs_reg--,   
106
      --dfflo    => open,   
107
      --dffhi    => open,    
108
      --devclrn  => vcc,   
109
      --devpor   => vcc  
110
    );
111
 
112
-- Outout enable and DQS ------------------------------------------------------------
113
 
114
  -- ****** ????????? invert dqs_oe also ??????
115
  dqs_oe_n <= not dqs_oe;
116
 
117
  dqs_oe_reg0 : cycloneiii_ddio_oe
118
    generic map(
119
      power_up    => "low",
120
      async_mode  => "none",
121
      sync_mode   => "none",
122
      lpm_type    => "cycloneiii_ddio_oe"
123
    )
124
    port map(
125
      oe        => dqs_oe,
126
      clk       => clk,
127
      ena       => vcc,
128
      areset    => gnd(0),
129
      sreset    => gnd(0),
130
      dataout   => dqs_oe_reg--,
131
      --dfflo   => open,
132
      --dffhi   => open,
133
      --devclrn => vcc,
134
      --devpor  => vcc
135
    );
136
  dqs_oe_reg_n <= not dqs_oe_reg;
137
 
138
 
139
-- Out buffer (DQS) -----------------------------------------------------------------
140
 
141
  dqs_buf0 : cycloneiii_io_obuf
142
    generic map(
143
      open_drain_output                => "false",
144
      bus_hold                         => "false",
145
      lpm_type                         => "cycloneiii_io_obuf"
146
    )
147
    port map(
148
      i                          => dqs_reg,
149
      oe                         => dqs_oe_reg_n,
150
      --devoe                      => vcc,
151
      o                          => dqs_pad,
152
      obar                       => open
153
      --seriesterminationcontrol   => gnd, 
154
    );
155
 
156
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.