OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [grfpw_net.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      grfpw
20
-- File:        grfpw.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: GRFPU / GRLFPC netlist wrapper
23
------------------------------------------------------------------------------
24
 
25
 
26
library IEEE;
27
use IEEE.std_logic_1164.all;
28
use work.gencomp.all;
29
 
30
entity grfpw_net is
31
  generic (tech     : integer := 0;
32
           pclow    : integer range 0 to 2 := 2;
33
           dsu      : integer range 0 to 2 := 1;
34
           disas    : integer range 0 to 2 := 0;
35
           pipe     : integer range 0 to 2 := 0
36
           );
37
  port (
38
    rst    : in  std_ulogic;                    -- Reset
39
    clk    : in  std_ulogic;
40
    holdn  : in  std_ulogic;                    -- pipeline hold
41
    cpi_flush   : in std_ulogic;                          -- pipeline flush
42
    cpi_exack           : in std_ulogic;                          -- FP exception acknowledge
43
    cpi_a_rs1   : in std_logic_vector(4 downto 0);
44
    cpi_d_pc    : in std_logic_vector(31 downto 0);
45
    cpi_d_inst  : in std_logic_vector(31 downto 0);
46
    cpi_d_cnt   : in std_logic_vector(1 downto 0);
47
    cpi_d_trap  : in std_ulogic;
48
    cpi_d_annul : in std_ulogic;
49
    cpi_d_pv    : in std_ulogic;
50
    cpi_a_pc    : in std_logic_vector(31 downto 0);
51
    cpi_a_inst  : in std_logic_vector(31 downto 0);
52
    cpi_a_cnt   : in std_logic_vector(1 downto 0);
53
    cpi_a_trap  : in std_ulogic;
54
    cpi_a_annul : in std_ulogic;
55
    cpi_a_pv    : in std_ulogic;
56
    cpi_e_pc    : in std_logic_vector(31 downto 0);
57
    cpi_e_inst  : in std_logic_vector(31 downto 0);
58
    cpi_e_cnt   : in std_logic_vector(1 downto 0);
59
    cpi_e_trap  : in std_ulogic;
60
    cpi_e_annul : in std_ulogic;
61
    cpi_e_pv    : in std_ulogic;
62
    cpi_m_pc    : in std_logic_vector(31 downto 0);
63
    cpi_m_inst  : in std_logic_vector(31 downto 0);
64
    cpi_m_cnt   : in std_logic_vector(1 downto 0);
65
    cpi_m_trap  : in std_ulogic;
66
    cpi_m_annul : in std_ulogic;
67
    cpi_m_pv    : in std_ulogic;
68
    cpi_x_pc    : in std_logic_vector(31 downto 0);
69
    cpi_x_inst  : in std_logic_vector(31 downto 0);
70
    cpi_x_cnt   : in std_logic_vector(1 downto 0);
71
    cpi_x_trap  : in std_ulogic;
72
    cpi_x_annul : in std_ulogic;
73
    cpi_x_pv    : in std_ulogic;
74
    cpi_lddata        : in std_logic_vector(31 downto 0);     -- load data
75
    cpi_dbg_enable : in std_ulogic;
76
    cpi_dbg_write  : in std_ulogic;
77
    cpi_dbg_fsr    : in std_ulogic;                            -- FSR access
78
    cpi_dbg_addr   : in std_logic_vector(4 downto 0);
79
    cpi_dbg_data   : in std_logic_vector(31 downto 0);
80
 
81
    cpo_data          : out std_logic_vector(31 downto 0); -- store data
82
    cpo_exc             : out std_logic;                         -- FP exception
83
    cpo_cc           : out std_logic_vector(1 downto 0);  -- FP condition codes
84
    cpo_ccv            : out std_ulogic;                         -- FP condition codes valid
85
    cpo_ldlock       : out std_logic;                    -- FP pipeline hold
86
    cpo_holdn         : out std_ulogic;
87
    cpo_dbg_data     : out std_logic_vector(31 downto 0);
88
 
89
    rfi1_rd1addr        : out std_logic_vector(3 downto 0);
90
    rfi1_rd2addr        : out std_logic_vector(3 downto 0);
91
    rfi1_wraddr         : out std_logic_vector(3 downto 0);
92
    rfi1_wrdata         : out std_logic_vector(31 downto 0);
93
    rfi1_ren1        : out std_ulogic;
94
    rfi1_ren2        : out std_ulogic;
95
    rfi1_wren        : out std_ulogic;
96
 
97
    rfi2_rd1addr        : out std_logic_vector(3 downto 0);
98
    rfi2_rd2addr        : out std_logic_vector(3 downto 0);
99
    rfi2_wraddr         : out std_logic_vector(3 downto 0);
100
    rfi2_wrdata         : out std_logic_vector(31 downto 0);
101
    rfi2_ren1        : out std_ulogic;
102
    rfi2_ren2        : out std_ulogic;
103
    rfi2_wren        : out std_ulogic;
104
 
105
    rfo1_data1          : in std_logic_vector(31 downto 0);
106
    rfo1_data2          : in std_logic_vector(31 downto 0);
107
    rfo2_data1          : in std_logic_vector(31 downto 0);
108
    rfo2_data2          : in std_logic_vector(31 downto 0)
109
    );
110
end;
111
 
112
 
113
architecture rtl of grfpw_net is
114
 
115
component grfpw_unisim
116
generic (tech : integer := 0);
117
port(
118
  rst :  in std_logic;
119
  clk :  in std_logic;
120
  holdn :  in std_logic;
121
  cpi_flush :  in std_logic;
122
  cpi_exack :  in std_logic;
123
  cpi_a_rs1 : in std_logic_vector (4 downto 0);
124
  cpi_d_pc : in std_logic_vector (31 downto 0);
125
  cpi_d_inst : in std_logic_vector (31 downto 0);
126
  cpi_d_cnt : in std_logic_vector (1 downto 0);
127
  cpi_d_trap :  in std_logic;
128
  cpi_d_annul :  in std_logic;
129
  cpi_d_pv :  in std_logic;
130
  cpi_a_pc : in std_logic_vector (31 downto 0);
131
  cpi_a_inst : in std_logic_vector (31 downto 0);
132
  cpi_a_cnt : in std_logic_vector (1 downto 0);
133
  cpi_a_trap :  in std_logic;
134
  cpi_a_annul :  in std_logic;
135
  cpi_a_pv :  in std_logic;
136
  cpi_e_pc : in std_logic_vector (31 downto 0);
137
  cpi_e_inst : in std_logic_vector (31 downto 0);
138
  cpi_e_cnt : in std_logic_vector (1 downto 0);
139
  cpi_e_trap :  in std_logic;
140
  cpi_e_annul :  in std_logic;
141
  cpi_e_pv :  in std_logic;
142
  cpi_m_pc : in std_logic_vector (31 downto 0);
143
  cpi_m_inst : in std_logic_vector (31 downto 0);
144
  cpi_m_cnt : in std_logic_vector (1 downto 0);
145
  cpi_m_trap :  in std_logic;
146
  cpi_m_annul :  in std_logic;
147
  cpi_m_pv :  in std_logic;
148
  cpi_x_pc : in std_logic_vector (31 downto 0);
149
  cpi_x_inst : in std_logic_vector (31 downto 0);
150
  cpi_x_cnt : in std_logic_vector (1 downto 0);
151
  cpi_x_trap :  in std_logic;
152
  cpi_x_annul :  in std_logic;
153
  cpi_x_pv :  in std_logic;
154
  cpi_lddata : in std_logic_vector (31 downto 0);
155
  cpi_dbg_enable :  in std_logic;
156
  cpi_dbg_write :  in std_logic;
157
  cpi_dbg_fsr :  in std_logic;
158
  cpi_dbg_addr : in std_logic_vector (4 downto 0);
159
  cpi_dbg_data : in std_logic_vector (31 downto 0);
160
  cpo_data : out std_logic_vector (31 downto 0);
161
  cpo_exc :  out std_logic;
162
  cpo_cc : out std_logic_vector (1 downto 0);
163
  cpo_ccv :  out std_logic;
164
  cpo_ldlock :  out std_logic;
165
  cpo_holdn :  out std_logic;
166
  cpo_dbg_data : out std_logic_vector (31 downto 0);
167
  rfi1_rd1addr : out std_logic_vector (3 downto 0);
168
  rfi1_rd2addr : out std_logic_vector (3 downto 0);
169
  rfi1_wraddr : out std_logic_vector (3 downto 0);
170
  rfi1_wrdata : out std_logic_vector (31 downto 0);
171
  rfi1_ren1 :  out std_logic;
172
  rfi1_ren2 :  out std_logic;
173
  rfi1_wren :  out std_logic;
174
  rfi2_rd1addr : out std_logic_vector (3 downto 0);
175
  rfi2_rd2addr : out std_logic_vector (3 downto 0);
176
  rfi2_wraddr : out std_logic_vector (3 downto 0);
177
  rfi2_wrdata : out std_logic_vector (31 downto 0);
178
  rfi2_ren1 :  out std_logic;
179
  rfi2_ren2 :  out std_logic;
180
  rfi2_wren :  out std_logic;
181
  rfo1_data1 : in std_logic_vector (31 downto 0);
182
  rfo1_data2 : in std_logic_vector (31 downto 0);
183
  rfo2_data1 : in std_logic_vector (31 downto 0);
184
  rfo2_data2 : in std_logic_vector (31 downto 0);
185
  disasen     :  in std_logic);
186
end component;
187
 
188
component grfpw_0_stratixii
189
port(
190
  rst :  in std_logic;
191
  clk :  in std_logic;
192
  holdn :  in std_logic;
193
  cpi_flush :  in std_logic;
194
  cpi_exack :  in std_logic;
195
  cpi_a_rs1 : in std_logic_vector (4 downto 0);
196
  cpi_d_pc : in std_logic_vector (31 downto 0);
197
  cpi_d_inst : in std_logic_vector (31 downto 0);
198
  cpi_d_cnt : in std_logic_vector (1 downto 0);
199
  cpi_d_trap :  in std_logic;
200
  cpi_d_annul :  in std_logic;
201
  cpi_d_pv :  in std_logic;
202
  cpi_a_pc : in std_logic_vector (31 downto 0);
203
  cpi_a_inst : in std_logic_vector (31 downto 0);
204
  cpi_a_cnt : in std_logic_vector (1 downto 0);
205
  cpi_a_trap :  in std_logic;
206
  cpi_a_annul :  in std_logic;
207
  cpi_a_pv :  in std_logic;
208
  cpi_e_pc : in std_logic_vector (31 downto 0);
209
  cpi_e_inst : in std_logic_vector (31 downto 0);
210
  cpi_e_cnt : in std_logic_vector (1 downto 0);
211
  cpi_e_trap :  in std_logic;
212
  cpi_e_annul :  in std_logic;
213
  cpi_e_pv :  in std_logic;
214
  cpi_m_pc : in std_logic_vector (31 downto 0);
215
  cpi_m_inst : in std_logic_vector (31 downto 0);
216
  cpi_m_cnt : in std_logic_vector (1 downto 0);
217
  cpi_m_trap :  in std_logic;
218
  cpi_m_annul :  in std_logic;
219
  cpi_m_pv :  in std_logic;
220
  cpi_x_pc : in std_logic_vector (31 downto 0);
221
  cpi_x_inst : in std_logic_vector (31 downto 0);
222
  cpi_x_cnt : in std_logic_vector (1 downto 0);
223
  cpi_x_trap :  in std_logic;
224
  cpi_x_annul :  in std_logic;
225
  cpi_x_pv :  in std_logic;
226
  cpi_lddata : in std_logic_vector (31 downto 0);
227
  cpi_dbg_enable :  in std_logic;
228
  cpi_dbg_write :  in std_logic;
229
  cpi_dbg_fsr :  in std_logic;
230
  cpi_dbg_addr : in std_logic_vector (4 downto 0);
231
  cpi_dbg_data : in std_logic_vector (31 downto 0);
232
  cpo_data : out std_logic_vector (31 downto 0);
233
  cpo_exc :  out std_logic;
234
  cpo_cc : out std_logic_vector (1 downto 0);
235
  cpo_ccv :  out std_logic;
236
  cpo_ldlock :  out std_logic;
237
  cpo_holdn :  out std_logic;
238
  cpo_dbg_data : out std_logic_vector (31 downto 0);
239
  rfi1_rd1addr : out std_logic_vector (3 downto 0);
240
  rfi1_rd2addr : out std_logic_vector (3 downto 0);
241
  rfi1_wraddr : out std_logic_vector (3 downto 0);
242
  rfi1_wrdata : out std_logic_vector (31 downto 0);
243
  rfi1_ren1 :  out std_logic;
244
  rfi1_ren2 :  out std_logic;
245
  rfi1_wren :  out std_logic;
246
  rfi2_rd1addr : out std_logic_vector (3 downto 0);
247
  rfi2_rd2addr : out std_logic_vector (3 downto 0);
248
  rfi2_wraddr : out std_logic_vector (3 downto 0);
249
  rfi2_wrdata : out std_logic_vector (31 downto 0);
250
  rfi2_ren1 :  out std_logic;
251
  rfi2_ren2 :  out std_logic;
252
  rfi2_wren :  out std_logic;
253
  rfo1_data1 : in std_logic_vector (31 downto 0);
254
  rfo1_data2 : in std_logic_vector (31 downto 0);
255
  rfo2_data1 : in std_logic_vector (31 downto 0);
256
  rfo2_data2 : in std_logic_vector (31 downto 0));
257
end component;
258
 
259
component grfpw_tsmc90
260
  port (
261
    rst    : in  std_ulogic;                    -- Reset
262
    clk    : in  std_ulogic;
263
    holdn  : in  std_ulogic;                    -- pipeline hold
264
    cpi_flush   : in std_ulogic;                          -- pipeline flush
265
    cpi_exack           : in std_ulogic;                          -- FP exception acknowledge
266
    cpi_a_rs1   : in std_logic_vector(4 downto 0);
267
    cpi_d_pc    : in std_logic_vector(31 downto 0);
268
    cpi_d_inst  : in std_logic_vector(31 downto 0);
269
    cpi_d_cnt   : in std_logic_vector(1 downto 0);
270
    cpi_d_trap  : in std_ulogic;
271
    cpi_d_annul : in std_ulogic;
272
    cpi_d_pv    : in std_ulogic;
273
    cpi_a_pc    : in std_logic_vector(31 downto 0);
274
    cpi_a_inst  : in std_logic_vector(31 downto 0);
275
    cpi_a_cnt   : in std_logic_vector(1 downto 0);
276
    cpi_a_trap  : in std_ulogic;
277
    cpi_a_annul : in std_ulogic;
278
    cpi_a_pv    : in std_ulogic;
279
    cpi_e_pc    : in std_logic_vector(31 downto 0);
280
    cpi_e_inst  : in std_logic_vector(31 downto 0);
281
    cpi_e_cnt   : in std_logic_vector(1 downto 0);
282
    cpi_e_trap  : in std_ulogic;
283
    cpi_e_annul : in std_ulogic;
284
    cpi_e_pv    : in std_ulogic;
285
    cpi_m_pc    : in std_logic_vector(31 downto 0);
286
    cpi_m_inst  : in std_logic_vector(31 downto 0);
287
    cpi_m_cnt   : in std_logic_vector(1 downto 0);
288
    cpi_m_trap  : in std_ulogic;
289
    cpi_m_annul : in std_ulogic;
290
    cpi_m_pv    : in std_ulogic;
291
    cpi_x_pc    : in std_logic_vector(31 downto 0);
292
    cpi_x_inst  : in std_logic_vector(31 downto 0);
293
    cpi_x_cnt   : in std_logic_vector(1 downto 0);
294
    cpi_x_trap  : in std_ulogic;
295
    cpi_x_annul : in std_ulogic;
296
    cpi_x_pv    : in std_ulogic;
297
    cpi_lddata        : in std_logic_vector(31 downto 0);     -- load data
298
    cpi_dbg_enable : in std_ulogic;
299
    cpi_dbg_write  : in std_ulogic;
300
    cpi_dbg_fsr    : in std_ulogic;                            -- FSR access
301
    cpi_dbg_addr   : in std_logic_vector(4 downto 0);
302
    cpi_dbg_data   : in std_logic_vector(31 downto 0);
303
 
304
    cpo_data          : out std_logic_vector(31 downto 0); -- store data
305
    cpo_exc             : out std_logic;                         -- FP exception
306
    cpo_cc           : out std_logic_vector(1 downto 0);  -- FP condition codes
307
    cpo_ccv            : out std_ulogic;                         -- FP condition codes valid
308
    cpo_ldlock       : out std_logic;                    -- FP pipeline hold
309
    cpo_holdn         : out std_ulogic;
310
    --cpo_restart      : out std_ulogic;
311
    cpo_dbg_data     : out std_logic_vector(31 downto 0);
312
 
313
    rfi1_rd1addr        : out std_logic_vector(3 downto 0);
314
    rfi1_rd2addr        : out std_logic_vector(3 downto 0);
315
    rfi1_wraddr         : out std_logic_vector(3 downto 0);
316
    rfi1_wrdata         : out std_logic_vector(31 downto 0);
317
    rfi1_ren1        : out std_ulogic;
318
    rfi1_ren2        : out std_ulogic;
319
    rfi1_wren        : out std_ulogic;
320
 
321
    rfi2_rd1addr        : out std_logic_vector(3 downto 0);
322
    rfi2_rd2addr        : out std_logic_vector(3 downto 0);
323
    rfi2_wraddr         : out std_logic_vector(3 downto 0);
324
    rfi2_wrdata         : out std_logic_vector(31 downto 0);
325
    rfi2_ren1        : out std_ulogic;
326
    rfi2_ren2        : out std_ulogic;
327
    rfi2_wren        : out std_ulogic;
328
 
329
    rfo1_data1          : in std_logic_vector(31 downto 0);
330
    rfo1_data2          : in std_logic_vector(31 downto 0);
331
    rfo2_data1          : in std_logic_vector(31 downto 0);
332
    rfo2_data2          : in std_logic_vector(31 downto 0)
333
    );
334
end component;
335
 
336
  attribute DONT_TOUCH : boolean;
337
  attribute DONT_TOUCH of u0_tsmc90 : label is TRUE;
338
 
339
  signal disasen : std_logic;
340
 
341
begin
342
 
343
  disasen <= '1' when disas /= 0 else '0';
344
 
345
  uni : if (tech = virtex2) or (tech = virtex4) or (tech = virtex5) or
346
                (tech = spartan3) or  (tech = spartan3e)
347
  generate
348
    grfpw0 : grfpw_unisim
349
      generic map (tech => tech)
350
      port map (rst, clk, holdn, cpi_flush, cpi_exack, cpi_a_rs1, cpi_d_pc,
351
        cpi_d_inst, cpi_d_cnt, cpi_d_trap, cpi_d_annul, cpi_d_pv, cpi_a_pc,
352
        cpi_a_inst, cpi_a_cnt, cpi_a_trap, cpi_a_annul, cpi_a_pv, cpi_e_pc,
353
        cpi_e_inst, cpi_e_cnt, cpi_e_trap, cpi_e_annul, cpi_e_pv, cpi_m_pc,
354
        cpi_m_inst, cpi_m_cnt, cpi_m_trap, cpi_m_annul, cpi_m_pv, cpi_x_pc,
355
        cpi_x_inst, cpi_x_cnt, cpi_x_trap, cpi_x_annul, cpi_x_pv, cpi_lddata,
356
        cpi_dbg_enable, cpi_dbg_write, cpi_dbg_fsr, cpi_dbg_addr, cpi_dbg_data,
357
        cpo_data, cpo_exc, cpo_cc, cpo_ccv, cpo_ldlock, cpo_holdn, cpo_dbg_data,
358
        rfi1_rd1addr, rfi1_rd2addr, rfi1_wraddr, rfi1_wrdata, rfi1_ren1,
359
        rfi1_ren2, rfi1_wren, rfi2_rd1addr, rfi2_rd2addr, rfi2_wraddr,
360
        rfi2_wrdata, rfi2_ren1, rfi2_ren2, rfi2_wren, rfo1_data1,
361
        rfo1_data2, rfo2_data1, rfo2_data2, disasen);
362
  end generate;
363
 
364
  alt : if (tech = stratix1)  or (tech = cyclone3) or
365
           (tech = stratix2)  or (tech = stratix3) or (tech = altera) generate
366
    grfpw0 : grfpw_0_stratixii
367
      port map (rst, clk, holdn, cpi_flush, cpi_exack, cpi_a_rs1, cpi_d_pc,
368
        cpi_d_inst, cpi_d_cnt, cpi_d_trap, cpi_d_annul, cpi_d_pv, cpi_a_pc,
369
        cpi_a_inst, cpi_a_cnt, cpi_a_trap, cpi_a_annul, cpi_a_pv, cpi_e_pc,
370
        cpi_e_inst, cpi_e_cnt, cpi_e_trap, cpi_e_annul, cpi_e_pv, cpi_m_pc,
371
        cpi_m_inst, cpi_m_cnt, cpi_m_trap, cpi_m_annul, cpi_m_pv, cpi_x_pc,
372
        cpi_x_inst, cpi_x_cnt, cpi_x_trap, cpi_x_annul, cpi_x_pv, cpi_lddata,
373
        cpi_dbg_enable, cpi_dbg_write, cpi_dbg_fsr, cpi_dbg_addr, cpi_dbg_data,
374
        cpo_data, cpo_exc, cpo_cc, cpo_ccv, cpo_ldlock, cpo_holdn, cpo_dbg_data,
375
        rfi1_rd1addr, rfi1_rd2addr, rfi1_wraddr, rfi1_wrdata, rfi1_ren1,
376
        rfi1_ren2, rfi1_wren, rfi2_rd1addr, rfi2_rd2addr, rfi2_wraddr,
377
        rfi2_wrdata, rfi2_ren1, rfi2_ren2, rfi2_wren, rfo1_data1,
378
        rfo1_data2, rfo2_data1, rfo2_data2 );
379
  end generate;
380
 
381
  u0_tsmc90 : if tech = tsmc90 generate
382
    grfpw0 : grfpw_tsmc90
383
            port map (rst, clk, holdn, cpi_flush, cpi_exack, cpi_a_rs1, cpi_d_pc,
384
        cpi_d_inst, cpi_d_cnt, cpi_d_trap, cpi_d_annul, cpi_d_pv, cpi_a_pc,
385
        cpi_a_inst, cpi_a_cnt, cpi_a_trap, cpi_a_annul, cpi_a_pv, cpi_e_pc,
386
        cpi_e_inst, cpi_e_cnt, cpi_e_trap, cpi_e_annul, cpi_e_pv, cpi_m_pc,
387
        cpi_m_inst, cpi_m_cnt, cpi_m_trap, cpi_m_annul, cpi_m_pv, cpi_x_pc,
388
        cpi_x_inst, cpi_x_cnt, cpi_x_trap, cpi_x_annul, cpi_x_pv, cpi_lddata,
389
        cpi_dbg_enable, cpi_dbg_write, cpi_dbg_fsr, cpi_dbg_addr, cpi_dbg_data,
390
        cpo_data, cpo_exc, cpo_cc, cpo_ccv, cpo_ldlock, cpo_holdn, cpo_dbg_data,
391
        rfi1_rd1addr, rfi1_rd2addr, rfi1_wraddr, rfi1_wrdata, rfi1_ren1,
392
        rfi1_ren2, rfi1_wren, rfi2_rd1addr, rfi2_rd2addr, rfi2_wraddr,
393
        rfi2_wrdata, rfi2_ren1, rfi2_ren2, rfi2_wren, rfo1_data1,
394
        rfo1_data2, rfo2_data1, rfo2_data2 );
395
  end generate;
396
 
397
 
398
end;
399
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.