OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [iodpad.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      iodpad
20
-- File:        iodpad.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: Open-drain I/O pad with technology wrapper
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
library techmap;
27
use ieee.std_logic_1164.all;
28
use techmap.gencomp.all;
29
use techmap.allpads.all;
30
 
31
entity iodpad is
32
  generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
33
           voltage : integer := x33v; strength : integer := 12;
34
           oepol : integer := 0);
35
  port (pad : inout std_ulogic; i : in std_ulogic; o : out std_ulogic);
36
end;
37
 
38
architecture rtl of iodpad is
39
signal gnd, oen : std_ulogic;
40
begin
41
  oen <= not i when oepol /= padoen_polarity(tech) else i;
42
  gnd <= '0';
43
  gen0 : if has_pads(tech) = 0 generate
44
    pad <= '0' after 2 ns when oen = '0'
45
-- pragma translate_off
46
           else 'X' after 2 ns when is_x(i)
47
-- pragma translate_on
48
           else 'Z' after 2 ns;
49
    o <= to_X01(pad) after 1 ns;
50
  end generate;
51
  xcv : if (tech = virtex) or (tech = virtex2) or (tech = spartan3)
52
        or (tech = spartan3e) or (tech = virtex4) or (tech = virtex5)
53
  generate
54
    x0 : virtex_iopad generic map (level, slew, voltage, strength)
55
         port map (pad, gnd, oen, o);
56
  end generate;
57
  axc : if (tech = axcel) or (tech = proasic) or (tech = apa3) generate
58
    x0 : axcel_iopad generic map (level, slew, voltage, strength)
59
         port map (pad, gnd, oen, o);
60
  end generate;
61
  atc : if (tech = atc18s) generate
62
    x0 : atc18_iopad generic map (level, slew, voltage, strength)
63
         port map (pad, gnd, oen, o);
64
  end generate;
65
  atcrh : if (tech = atc18rha) generate
66
    x0 : atc18rha_iopad generic map (level, slew, voltage, strength)
67
         port map (pad, gnd, oen, o);
68
  end generate;
69
  um : if (tech = umc) generate
70
    x0 : umc_iopad generic map (level, slew, voltage, strength)
71
         port map (pad, gnd, oen, o);
72
  end generate;
73
  rhu : if (tech = rhumc) generate
74
    x0 : rhumc_iopad generic map (level, slew, voltage, strength)
75
         port map (pad, gnd, oen, o);
76
  end generate;
77
  ihp : if (tech = ihp25) generate
78
    x0 : ihp25_iopad generic map (level, slew, voltage, strength)
79
         port map (pad, gnd, oen, o);
80
    end generate;
81
  rh18t : if (tech = rhlib18t) generate
82
    x0 : rh_lib18t_iopad generic map (strength)
83
         port map (pad, gnd, oen, o);
84
    end generate;
85
  ut025 : if (tech = ut25) generate
86
    x0 : ut025crh_iopad generic map (strength)
87
         port map (pad, gnd, oen, o);
88
    end generate;
89
  pere  : if (tech = peregrine) generate
90
    x0 : peregrine_iopad generic map (level, slew, voltage, strength)
91
         port map(pad, gnd, oen, o);
92
  end generate;
93
end;
94
 
95
library ieee;
96
library techmap;
97
use ieee.std_logic_1164.all;
98
use techmap.gencomp.all;
99
 
100
entity iodpadv is
101
  generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
102
        voltage : integer := 0; strength : integer := 0; width : integer := 1;
103
        oepol : integer := 0);
104
  port (
105
    pad : inout std_logic_vector(width-1 downto 0);
106
    i   : in  std_logic_vector(width-1 downto 0);
107
    o   : out std_logic_vector(width-1 downto 0));
108
end;
109
architecture rtl of iodpadv is
110
begin
111
  v : for j in width-1 downto 0 generate
112
    x0 : iodpad generic map (tech, level, slew, voltage, strength, oepol)
113
         port map (pad(j), i(j), o(j));
114
  end generate;
115
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.