OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [mul_61x61.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      mul_61x61
20
-- File:        mul_61x61.vhd
21
-- Author:      Edvin Catovic - Gaisler Research
22
-- Description: 61x61 multiplier 
23
------------------------------------------------------------------------------
24
 
25
library ieee;
26
use ieee.std_logic_1164.all;
27
library techmap;
28
use techmap.gencomp.all;
29
 
30
entity mul_61x61 is
31
  generic (multech : integer := 0);
32
    port(A       : in std_logic_vector(60 downto 0);
33
         B       : in std_logic_vector(60 downto 0);
34
         EN      : in std_logic;
35
         CLK     : in std_logic;
36
         PRODUCT : out std_logic_vector(121 downto 0));
37
end;
38
 
39
 
40
architecture rtl of mul_61x61 is
41
 
42
component dw_mul_61x61 is
43
    port(A       : in std_logic_vector(60 downto 0);
44
         B       : in std_logic_vector(60 downto 0);
45
         CLK     : in std_logic;
46
         PRODUCT : out std_logic_vector(121 downto 0));
47
end component;
48
 
49
 
50
component gen_mul_61x61 is
51
    port(A       : in std_logic_vector(60 downto 0);
52
         B       : in std_logic_vector(60 downto 0);
53
         EN      : in std_logic;
54
         CLK     : in std_logic;
55
         PRODUCT : out std_logic_vector(121 downto 0));
56
end component;
57
 
58
begin
59
 
60
  gen0 : if multech = 0 generate
61
    mul0 : gen_mul_61x61 port map (A, B, EN, CLK, PRODUCT);
62
  end generate;
63
 
64
  dw0 : if multech = 1 generate
65
    mul0 : dw_mul_61x61 port map (A, B, CLK, PRODUCT);
66
  end generate;
67
 
68
end;
69
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.