OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [maps/] [tap.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------   
19
-- Entity:      tap
20
-- File:        tap.vhd
21
-- Author:      Edvin Catovic - Gaisler Research
22
-- Description: TAP controller technology wrapper
23
------------------------------------------------------------------------------
24
 
25
 
26
library ieee;
27
use ieee.std_logic_1164.all;
28
library techmap;
29
use techmap.gencomp.all;
30
use techmap.alltap.all;
31
library grlib;
32
use grlib.stdlib.all;
33
 
34
entity tap is
35
  generic (
36
    tech   : integer := 0;
37
    irlen  : integer range 2 to 8 := 4;
38
    idcode : integer range 0 to 255 := 9;
39
    manf   : integer range 0 to 2047 := 804;
40
    part   : integer range 0 to 65535 := 0;
41
    ver    : integer range 0 to 15 := 0;
42
    trsten : integer range 0 to 1 := 1;
43
    scantest : integer := 0);
44
  port (
45
    trst        : in std_ulogic;
46
    tck         : in std_ulogic;
47
    tms         : in std_ulogic;
48
    tdi         : in std_ulogic;
49
    tdo         : out std_ulogic;
50
    tapo_tck    : out std_ulogic;
51
    tapo_tdi    : out std_ulogic;
52
    tapo_inst   : out std_logic_vector(7 downto 0);
53
    tapo_rst    : out std_ulogic;
54
    tapo_capt   : out std_ulogic;
55
    tapo_shft   : out std_ulogic;
56
    tapo_upd    : out std_ulogic;
57
    tapo_xsel1  : out std_ulogic;
58
    tapo_xsel2  : out std_ulogic;
59
    tapi_en1    : in std_ulogic;
60
    tapi_tdo1   : in std_ulogic;
61
    tapi_tdo2   : in std_ulogic;
62
    testen      : in std_ulogic := '0';
63
    testrst     : in std_ulogic := '1';
64
    tdoen       : out std_ulogic
65
    );
66
end;
67
 
68
 
69
architecture rtl of tap is
70
signal tckn, ltck, ltckn : std_ulogic;
71
begin
72
 
73
   xcv : if tech = virtex generate
74
     u0 : virtex_tap port map (tapi_tdo1, tapi_tdo1, tapo_tck, tapo_tdi, tapo_rst,
75
                                tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2);
76
   end generate;
77
 
78
   xc2v : if tech = virtex2 generate
79
     u0 : virtex2_tap port map (tapi_tdo1, tapi_tdo1, tapo_tck, tapo_tdi, tapo_rst,
80
                                tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2);
81
   end generate;
82
 
83
   xc4v : if tech = virtex4 generate
84
     u0 : virtex4_tap port map (tapi_tdo1, tapi_tdo1, tapo_tck, tapo_tdi, tapo_rst,
85
                                tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2);
86
   end generate;
87
 
88
   xc5v : if tech = virtex5 generate
89
     u0 : virtex5_tap port map (tapi_tdo1, tapi_tdo1, tapo_tck, tapo_tdi, tapo_rst,
90
                                tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2);
91
   end generate;
92
 
93
   xc3s : if (tech = spartan3) or (tech = spartan3e) generate
94
     u0 : spartan3_tap port map (tapi_tdo1, tapi_tdo1, tapo_tck, tapo_tdi, tapo_rst,
95
                                tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2);
96
   end generate;
97
 
98
  alt : if (tech = altera) or (tech = stratix1) or (tech = stratix2) or
99
        (tech = stratix3) or (tech = cyclone3) generate
100
     u0 : altera_tap port map (tapi_tdo1, tapi_tdo1, tapo_tck, tapo_tdi, tapo_inst, tapo_rst,
101
                                tapo_capt, tapo_shft, tapo_upd, tapo_xsel1, tapo_xsel2);
102
   end generate;
103
 
104
   actpa3 : if (tech = apa3) generate
105
     u0 : proasic3_tap port map (tck, tms, tdi, trst, tdo,
106
       tapi_tdo1, tapi_tdo2, tapi_en1, tapo_tck, tapo_tdi, tapo_rst,
107
                                 tapo_capt, tapo_shft, tapo_upd, tapo_inst);
108
   end generate;
109
 
110
   inf : if (tech /= virtex2) and (tech /= spartan3) and (tech /= spartan3e)
111
        and (tech /= virtex) and (tech /= virtex4) and (tech /= virtex5) and
112
            (tech /= altera) and (tech /= stratix1)  and (tech /= stratix2) and
113
            (tech /= stratix3)  and (tech /= cyclone3) and (tech /= apa3)
114
   generate
115
   asic : if is_fpga(tech) = 0 generate
116
     tckn <= tck when (scantest = 1) and (testen = '1') else not tck;
117
     pclk : techbuf generic map (tech => tech) port map (tck, ltck);
118
     nclk : techbuf generic map (tech => tech) port map (tckn, ltckn);
119
   end generate;
120
   fpga : if is_fpga(tech) = 1 generate
121
     ltck <= tck; ltckn <= not tck;
122
   end generate;
123
      u0 : tap_gen generic map (irlen => irlen,  manf => manf, part => part, ver => ver,
124
                idcode => idcode, scantest => scantest)
125
        port map (trst, ltck, ltckn, tms, tdi, tdo, tapi_en1, tapi_tdo1, tapi_tdo2, tapo_tck,
126
                  tapo_tdi, tapo_inst, tapo_rst, tapo_capt, tapo_shft, tapo_upd, tapo_xsel1,
127
                  tapo_xsel2, testen, testrst, tdoen);
128
   end generate;
129
 
130
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.