OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [proasic3/] [buffer_apa3.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
------------------------------------------------------------------------------
2
--  This file is a part of the GRLIB VHDL IP LIBRARY
3
--  Copyright (C) 2003, Gaisler Research
4
--
5
--  This program is free software; you can redistribute it and/or modify
6
--  it under the terms of the GNU General Public License as published by
7
--  the Free Software Foundation; either version 2 of the License, or
8
--  (at your option) any later version.
9
--
10
--  This program is distributed in the hope that it will be useful,
11
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
12
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
--  GNU General Public License for more details.
14
--
15
--  You should have received a copy of the GNU General Public License
16
--  along with this program; if not, write to the Free Software
17
--  Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA 
18
-----------------------------------------------------------------------------
19
-- Entity:      clkbuf_actel
20
-- File:        clkbuf_actel.vhd
21
-- Author:      Jiri Gaisler - Gaisler Research
22
-- Description: Clock buffer generator for Actel devices
23
------------------------------------------------------------------------------
24
library ieee;
25
use ieee.std_logic_1164.all;
26
-- pragma translate_off
27
library proasic3;
28
use proasic3.clkint;
29
-- pragma translate_on
30
 
31
entity clkbuf_apa3 is
32
  generic(
33
    buftype :  integer range 0 to 3 := 0);
34
  port(
35
    i       :  in  std_ulogic;
36
    o       :  out std_ulogic
37
  );
38
end entity;
39
 
40
architecture rtl of clkbuf_apa3 is
41
  signal o2, no2, nin : std_ulogic;
42
  component clkint port(a : in std_ulogic; y : out std_ulogic); end component;
43
  attribute syn_maxfan : integer;
44
  attribute syn_maxfan of o2 : signal is 10000;
45
begin
46
  o <= o2;
47
  buf0 : if buftype = 0 generate
48
    o2 <= i;
49
  end generate;
50
  buf1 : if buftype = 1 generate
51
    buf : clkint port map(A => i, Y => o2);
52
  end generate;
53
  buf2 : if buftype = 2 generate
54
    buf : clkint port map(A => i, Y => o2);
55
  end generate;
56
  buf3 : if buftype > 2 generate
57
    nin <= not i;
58
    buf : clkint port map(A => nin, Y => no2);
59
    o2 <= not no2;
60
  end generate;
61
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.