OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [stratixiii/] [alt/] [aclkout.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library stratixiii;
8
use stratixiii.all;
9
 
10
entity aclkout is
11
  port(
12
    clk     : in  std_logic;
13
    ddr_clk : out std_logic;
14
    ddr_clkn: out std_logic
15
  );
16
end;
17
architecture rtl of aclkout is
18
 
19
component stratixiii_ddio_out
20
  generic(
21
    power_up                           :  string := "low";
22
    async_mode                         :  string := "none";
23
    sync_mode                          :  string := "none";
24
    half_rate_mode                     :  string := "false";
25
    use_new_clocking_model             :  string := "false";
26
    lpm_type                           :  string := "stratixiii_ddio_out"
27
  );
28
  port (
29
    datainlo                : in std_logic := '0';
30
    datainhi                : in std_logic := '0';
31
    clk                     : in std_logic := '0';
32
    clkhi                   : in std_logic := '0';
33
    clklo                   : in std_logic := '0';
34
    muxsel                  : in std_logic := '0';
35
    ena                     : in std_logic := '1';
36
    areset                  : in std_logic := '0';
37
    sreset                  : in std_logic := '0';
38
    dataout                 : out std_logic;
39
    dfflo                   : out std_logic;
40
    dffhi                   : out std_logic-- ;         
41
    --devclrn                 : in std_logic := '1';   
42
    --devpor                  : in std_logic := '1'   
43
  );
44
end component;
45
component stratixiii_pseudo_diff_out is
46
  generic (
47
    lpm_type        :  string := "stratixiii_pseudo_diff_out"
48
  );
49
  port (
50
    i                       : in std_logic := '0';
51
    o                       : out std_logic;
52
    obar                    : out std_logic
53
  );
54
end component;
55
 
56
component  stratixiii_io_obuf
57
  generic(
58
    bus_hold    :       string := "false";
59
    open_drain_output   :       string := "false";
60
    shift_series_termination_control    :       string := "false";
61
    lpm_type    :       string := "stratixiii_io_obuf"
62
  );
63
  port(
64
    dynamicterminationcontrol   :       in std_logic := '0';
65
    i   :       in std_logic := '0';
66
    o   :       out std_logic;
67
    obar        :       out std_logic;
68
    oe  :       in std_logic := '1'--;
69
    --parallelterminationcontrol        :       in std_logic_vector(13 downto 0) := (others => '0');
70
    --seriesterminationcontrol  :       in std_logic_vector(13 downto 0) := (others => '0')
71
  );
72
end component;
73
 
74
signal vcc      : std_logic;
75
signal gnd      : std_logic_vector(13 downto 0);
76
signal clk_reg  : std_logic;
77
signal clk_buf, clk_bufn  : std_logic;
78
begin
79
  vcc <= '1'; gnd <= (others => '0');
80
 
81
  out_reg0 : stratixiii_ddio_out
82
    generic map(
83
      power_up               => "low",
84
      async_mode             => "none",
85
      sync_mode              => "none",
86
      half_rate_mode         => "false",
87
      use_new_clocking_model => "true",
88
      lpm_type               => "stratixiii_ddio_out"
89
    )
90
    port map(
91
      datainlo => gnd(0),
92
      datainhi => vcc,
93
      clk      => clk,
94
      clkhi    => clk,
95
      clklo    => clk,
96
      muxsel   => clk,
97
      ena      => vcc,
98
      areset   => gnd(0),
99
      sreset   => gnd(0),
100
      dataout  => clk_reg,
101
      dfflo    => open,
102
      dffhi    => open--,    
103
      --devclrn  => vcc,   
104
      --devpor   => vcc  
105
    );
106
 
107
  pseudo_diff0 : stratixiii_pseudo_diff_out
108
    port map(
109
      i     => clk_reg,
110
      o     => clk_buf,
111
      obar  => clk_bufn
112
    );
113
 
114
  out_buf0 : stratixiii_io_obuf
115
    generic map(
116
      open_drain_output                => "false",
117
      shift_series_termination_control => "false",
118
      bus_hold                         => "false",
119
      lpm_type                         => "stratixiii_io_obuf"
120
    )
121
    port map(
122
      i                          => clk_buf,
123
      oe                         => vcc,
124
      dynamicterminationcontrol  => gnd(0),
125
      --seriesterminationcontrol   => gnd, 
126
      --parallelterminationcontrol => gnd, 
127
      o                          => ddr_clk,
128
      obar                       => open
129
    );
130
 
131
  out_bufn0 : stratixiii_io_obuf
132
    generic map(
133
      open_drain_output                => "false",
134
      shift_series_termination_control => "false",
135
      bus_hold                         => "false",
136
      lpm_type                         => "stratixiii_io_obuf"
137
    )
138
    port map(
139
      i                          => clk_bufn,
140
      oe                         => vcc,
141
      dynamicterminationcontrol  => gnd(0),
142
      --seriesterminationcontrol   => gnd, 
143
      --parallelterminationcontrol => gnd, 
144
      o                          => ddr_clkn,
145
      obar                       => open
146
    );
147
 
148
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.