OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [techmap/] [stratixiii/] [alt/] [admout.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimamali
library ieee;
2
use ieee.std_logic_1164.all;
3
library grlib;
4
use grlib.stdlib.all;
5
library techmap;
6
use techmap.gencomp.all;
7
library stratixiii;
8
use stratixiii.all;
9
library altera;
10
use altera.all;
11
 
12
entity admout is
13
  port(
14
    clk       : in  std_logic; -- clk0
15
    dm_h      : in  std_logic;
16
    dm_l      : in  std_logic;
17
    dm_pad    : out std_logic  -- DQ pad
18
  );
19
end;
20
architecture rtl of admout is
21
 
22
component stratixiii_ddio_out
23
  generic(
24
    power_up                           :  string := "low";
25
    async_mode                         :  string := "none";
26
    sync_mode                          :  string := "none";
27
    half_rate_mode                     :  string := "false";
28
    use_new_clocking_model             :  string := "false";
29
    lpm_type                           :  string := "stratixiii_ddio_out"
30
  );
31
  port (
32
    datainlo                : in std_logic := '0';
33
    datainhi                : in std_logic := '0';
34
    clk                     : in std_logic := '0';
35
    clkhi                   : in std_logic := '0';
36
    clklo                   : in std_logic := '0';
37
    muxsel                  : in std_logic := '0';
38
    ena                     : in std_logic := '1';
39
    areset                  : in std_logic := '0';
40
    sreset                  : in std_logic := '0';
41
    dataout                 : out std_logic--;         
42
    --dfflo                   : out std_logic;         
43
    --dffhi                   : out std_logic;         
44
    --devclrn                 : in std_logic := '1';   
45
    --devpor                  : in std_logic := '1'   
46
  );
47
end component;
48
 
49
component  stratixiii_io_obuf
50
  generic(
51
    bus_hold    :       string := "false";
52
    open_drain_output   :       string := "false";
53
    shift_series_termination_control    :       string := "false";
54
    lpm_type    :       string := "stratixiii_io_obuf"
55
  );
56
  port(
57
    dynamicterminationcontrol   :       in std_logic := '0';
58
    i   :       in std_logic := '0';
59
    o   :       out std_logic;
60
    obar        :       out std_logic;
61
    oe  :       in std_logic := '1'--;
62
    --parallelterminationcontrol        :       in std_logic_vector(13 downto 0) := (others => '0');
63
    --seriesterminationcontrol  :       in std_logic_vector(13 downto 0) := (others => '0')
64
  );
65
end component;
66
 
67
signal vcc      : std_logic;
68
signal gnd      : std_logic_vector(13 downto 0);
69
signal dm_reg   : std_logic;
70
begin
71
  vcc <= '1'; gnd <= (others => '0');
72
 
73
-- DM output register --------------------------------------------------------------
74
 
75
  dm_reg0 : stratixiii_ddio_out
76
    generic map(
77
      power_up               => "high",
78
      async_mode             => "none",
79
      sync_mode              => "none",
80
      half_rate_mode         => "false",
81
      use_new_clocking_model => "true",
82
      lpm_type               => "stratixiii_ddio_out"
83
    )
84
    port map(
85
      datainlo => dm_l,
86
      datainhi => dm_h,
87
      clk      => clk,
88
      clkhi    => clk,
89
      clklo    => clk,
90
      muxsel   => clk,
91
      ena      => vcc,
92
      areset   => gnd(0),
93
      sreset   => gnd(0),
94
      dataout  => dm_reg--,   
95
      --dfflo    => open,   
96
      --dffhi    => open,    
97
      --devclrn  => vcc,   
98
      --devpor   => vcc  
99
    );
100
 
101
-- Out buffer (DM) ------------------------------------------------------------------
102
 
103
  dm_buf0 : stratixiii_io_obuf
104
    generic map(
105
      open_drain_output                => "false",
106
      shift_series_termination_control => "false",
107
      bus_hold                         => "false",
108
      lpm_type                         => "stratixiii_io_obuf"
109
    )
110
    port map(
111
      i                          => dm_reg,
112
      oe                         => vcc,
113
      dynamicterminationcontrol  => gnd(0),
114
      --seriesterminationcontrol   => gnd, 
115
      --parallelterminationcontrol => gnd, 
116
      o                          => dm_pad,
117
      obar                       => open
118
    );
119
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.