OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [wave.do] - Blame information for rev 38

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
onerror {resume}
2
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad
3
quietly WaveActivateNextPane {} 0
4
add wave -noupdate -divider HostBFM
5
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk
6
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst
7
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus
8
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be
9
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in
10
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw
11
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select
12
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out
13
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack
14
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry
15
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup
16
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack
17
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata
18
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren
19
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full
20
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done
21
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
22
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
23
add wave -noupdate -divider JpegEnc
24
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
25
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
26
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
27
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be
28
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in
29
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw
30
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select
31
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out
32
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack
33
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry
34
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup
35
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack
36
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata
37
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren
38
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte
39
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren
40
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr
41
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata
42
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr
43
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren
44
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready
45
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy
46
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr
47
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes
48
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x
49
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y
50
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof
51
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden
52
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr
53
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata
54
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start
55
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready
56
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start
57
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready
58
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start
59
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready
60
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start
61
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready
62
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start
63
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready
64
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel
65
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr
66
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data
67
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel
68
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr
69
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data
70
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel
71
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr
72
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden
73
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength
74
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size
75
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude
76
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval
77
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel
78
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty
79
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req
80
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte
81
add wave -noupdate -divider CtrlSM
82
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk
83
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst
84
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof
85 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x
86
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y
87 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready
88
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy
89 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/cmp_max
90 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start
91
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready
92 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_sm_settings
93 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start
94
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready
95 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/zig_sm_settings
96
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_start
97
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_ready
98
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/qua_sm_settings
99 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start
100
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready
101 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rle_sm_settings
102 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start
103
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready
104 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/huf_sm_settings
105 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start
106
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready
107 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/bs_sm_settings
108
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_start
109
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_ready
110
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_eoi
111
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl
112
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg
113 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state
114 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start
115
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/idle
116
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb
117
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb
118
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fsm
119 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d
120 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rsm
121
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s
122
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s2
123 25 mikel262
add wave -noupdate -divider BUF_FIFO
124
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
125
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
126
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
127
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
128
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
129
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
130
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
131
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
132
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_block_cnt
133
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
134
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_empty
135
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
136 28 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_hf_full
137 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_rd
138
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr
139
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data
140
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data_d1
141
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full
142
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_empty
143 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full
144
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count
145
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
146
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wblock_cnt
147 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/last_idx
148 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/idx_reg
149
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wr_idx_reg
150
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramq
151
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenw
152
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramd
153
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr
154
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_offset
155
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_base
156
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr
157
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_base
158
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_offset
159
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenr
160
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramwaddr
161
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenw
162
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramraddr
163
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenr
164
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/offset_ramwaddr
165 25 mikel262
add wave -noupdate -divider FDCT
166
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk
167
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst
168
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb
169
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb
170
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en
171
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings
172
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_block_cnt
173
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd
174
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty
175
add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q
176
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full
177
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int
178
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data
179
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q
180
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we
181
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr
182
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr
183
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d
184
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd
185
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty_d1
186
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started
187
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel
188
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr
189
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data
190
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden
191
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x
192
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y
193
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof
194
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in
195
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval
196
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval
197
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out
198
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1
199
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1
200
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt
201
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt
202
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt_cur
203
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt_cur
204
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx
205
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx
206
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr
207
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt
208
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en
209
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1
210
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr
211
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval
212
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt
213
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data
214
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q
215
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we
216
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr
217
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr
218
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt
219
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt
220
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1
221
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr
222
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1
223
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2
224
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3
225
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1
226
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2
227
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3
228
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1
229
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2
230
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3
231
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg
232
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg
233
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg
234
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s
235
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s
236
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s
237
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit
238
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit
239
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit
240
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1
241
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2
242
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3
243
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4
244
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5
245
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6
246
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7
247
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8
248
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9
249
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd
250
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr
251
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q
252
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full
253
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty
254
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count
255
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt
256
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval
257
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in
258
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm
259
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
260
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s
261
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad
262
add wave -noupdate -divider ZZ_TOP
263
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk
264
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst
265
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb
266
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb
267 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zig_sm_settings
268
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qua_buf_sel
269
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_rdaddr
270
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_data
271 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel
272
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr
273 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data
274
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_rden
275 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data
276 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q
277 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we
278
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr
279
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr
280 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di
281 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid
282 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout
283 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid
284
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt
285
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt
286
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d
287
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en
288
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s
289 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zz_rd_addr
290
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_empty
291
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_rden
292 25 mikel262
add wave -noupdate -divider {zigzag core}
293
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
294
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
295
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
296
add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
297
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
298
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
299
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
300
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
301
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
302
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
303
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
304
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
305
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
306
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
307
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
308 34 mikel262
add wave -noupdate -divider QUANT_TOP
309
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/clk
310
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rst
311
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/start_pb
312
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/ready_pb
313
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qua_sm_settings
314
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rle_buf_sel
315
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_rdaddr
316
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_data
317
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel
318
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_rd_addr
319
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_data
320
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qdata
321
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qaddr
322
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/qwren
323
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_data
324
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_q
325
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/dbuf_we
326
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_waddr
327
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_raddr
328
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zigzag_di
329
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zigzag_divalid
330
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/quant_dout
331
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/quant_dovalid
332
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/wr_cnt
333
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_cnt
334
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_en_d
335
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rd_en
336
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel_s
337
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zz_rd_addr
338
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/fifo_empty
339 25 mikel262
add wave -noupdate -divider quantizer
340 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/rst
341
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/clk
342
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di
343
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divalid
344
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qdata
345
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwaddr
346
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwren
347
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/cmp_idx
348
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do
349
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/dovalid
350
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romaddr_s
351
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/slv_romaddr_s
352
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romdatao_s
353
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divisor_s
354
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/remainder_s
355
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_s
356
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/round_s
357
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di_d1
358
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/pipeline_reg
359
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/sign_bit_pipe
360
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_rdiv
361
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/table_select
362 25 mikel262
add wave -noupdate -divider RLE_TOP
363
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk
364
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst
365
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb
366
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb
367
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings
368
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel
369
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden
370
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength
371
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size
372
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude
373
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval
374
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty
375
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data
376
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q
377
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we
378
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength
379
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size
380
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude
381
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid
382
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di
383
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid
384
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0
385
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
386
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
387
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
388
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
389
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt
390
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
391
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
392
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
393
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
394
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
395
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
396
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
397
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
398
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
399
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
400
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
401
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
402
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
403
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
404
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
405
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
406
add wave -noupdate -divider rle_core
407
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst
408
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk
409
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di
410
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb
411
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof
412 36 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rle_sm_settings
413
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength
414
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size
415
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude
416
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid
417
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_addr
418
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_0
419
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_1
420
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_2
421 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg
422
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg
423 36 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg
424 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg
425
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg
426 36 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt
427 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1
428 36 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt
429
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_cnt
430
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_en
431
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid
432
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_proc
433 38 mikel262
add wave -noupdate -divider DoubleFIFO
434
add wave -noupdate -divider RLE_DoubleFIFO
435
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
436
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
437
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
438
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
439
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
440
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
441
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
442
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
443
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
444
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
445
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
446
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
447
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
448
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
449
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
450
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
451
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
452
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
453
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
454
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
455
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_data_in
456 25 mikel262
add wave -noupdate -divider HUFFMAN
457
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk
458
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst
459
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb
460
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb
461
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_sm_settings
462
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof
463
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength
464
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size
465
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli
466
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x
467
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y
468
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel
469
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty
470
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state
471
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s
472
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word
473
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg
474
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr
475
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs
476
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte
477
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt
478
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren
479
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block
480
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/image_area_size
481
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/block_cnt
482
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en
483
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d
484
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d
485
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size
486
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc
487
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext
488
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size
489
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size
490
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc
491
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size
492
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac
493 38 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val
494 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1
495
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2
496
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3
497
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw
498
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running
499
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r
500
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r
501
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel
502
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty
503
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req
504
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte
505
add wave -noupdate -divider BYTE_STUFFER
506
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk
507
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst
508
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb
509
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb
510
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
511
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof
512
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes
513
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr
514
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel
515
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty
516
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req
517
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte
518
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte
519
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid
520
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata
521
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val
522
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg
523
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr
524
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt
525
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s
526
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en
527
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s
528
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren
529
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr
530
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte
531
add wave -noupdate -format Logic /jpeg_tb/sim_done
532
add wave -noupdate -divider JFIFGen
533
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk
534
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst
535
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start
536
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready
537
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi
538
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren
539
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr
540
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata
541
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg
542
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr
543
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte
544
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren
545
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr
546
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data
547
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr
548
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr
549
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we
550
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q
551
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt
552
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr
553
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt
554
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en
555
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1
556
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1
557
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2
558
add wave -noupdate -divider OutMux
559
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk
560
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst
561
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl
562
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte
563
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren
564
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr
565
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte
566
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren
567
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr
568
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte
569
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren
570
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr
571
TreeUpdate [SetDefaultTree]
572 38 mikel262
WaveRestoreCursors {{Cursor 4} {56415000 ps} 0}
573 25 mikel262
configure wave -namecolwidth 150
574
configure wave -valuecolwidth 55
575
configure wave -justifyvalue left
576
configure wave -signalnamewidth 1
577
configure wave -snapdistance 10
578
configure wave -datasetprefix 0
579
configure wave -rowmargin 4
580
configure wave -childrowmargin 2
581
configure wave -gridoffset 0
582
configure wave -gridperiod 1
583
configure wave -griddelta 40
584
configure wave -timeline 0
585
configure wave -timelineunits ps
586
update
587 38 mikel262
WaveRestoreZoom {56254709 ps} {56768431 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.