OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [wave.do] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
onerror {resume}
2
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad
3
quietly WaveActivateNextPane {} 0
4
add wave -noupdate -divider HostBFM
5
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk
6
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst
7
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus
8
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be
9
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in
10
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw
11
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select
12
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out
13
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack
14
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry
15
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup
16
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack
17
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata
18
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren
19
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full
20
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done
21
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
22
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
23
add wave -noupdate -divider JpegEnc
24
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
25
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
26
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
27
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be
28
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in
29
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw
30
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select
31
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out
32
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack
33
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry
34
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup
35
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack
36
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata
37
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren
38
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte
39
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren
40
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr
41
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata
42
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr
43
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren
44
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready
45
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy
46
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr
47
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes
48
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x
49
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y
50
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof
51
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden
52
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr
53
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata
54
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start
55
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready
56
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start
57
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready
58
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start
59
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready
60
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start
61
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready
62
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start
63
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready
64
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel
65
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr
66
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data
67
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel
68
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr
69
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data
70
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel
71
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr
72
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden
73
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength
74
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size
75
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude
76
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval
77
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel
78
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty
79
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req
80
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte
81
add wave -noupdate -divider CtrlSM
82
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk
83
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst
84
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof
85 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x
86
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y
87 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready
88
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy
89 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/cmp_max
90 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start
91
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready
92 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_sm_settings
93 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start
94
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready
95 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/zig_sm_settings
96
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_start
97
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_ready
98
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/qua_sm_settings
99 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start
100
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready
101 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rle_sm_settings
102 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start
103
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready
104 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/huf_sm_settings
105 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start
106
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready
107 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/bs_sm_settings
108
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_start
109
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_ready
110
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_eoi
111
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl
112
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg
113 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state
114 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start
115
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/idle
116
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb
117
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb
118
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fsm
119 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d
120 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rsm
121
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s
122
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s2
123 25 mikel262
add wave -noupdate -divider BUF_FIFO
124
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
125
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
126 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
127
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
128 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
129
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
130
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
131
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
132
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_block_cnt
133
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
134
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_empty
135
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
136 28 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_hf_full
137 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_rd
138
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr
139
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data
140
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_data_d1
141 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(7)
142
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(6)
143
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(5)
144
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(4)
145
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(3)
146
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(2)
147
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(1)
148
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_wr(0)
149
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wblock_cnt
150 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full
151
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_empty
152 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(7)
153
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(6)
154
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(5)
155
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(4)
156
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(3)
157
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(2)
158
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(1)
159
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_full(0)
160
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(0)
161
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(1)
162
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(2)
163
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(3)
164
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(4)
165
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(5)
166
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(6)
167
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count(7)
168 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full
169 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(7)
170
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(6)
171
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(5)
172
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(4)
173
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(3)
174
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(2)
175
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(1)
176
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_half_full(0)
177 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_count
178
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
179 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/last_idx
180 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/idx_reg
181
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/wr_idx_reg
182
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramq
183
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenw
184
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramd
185
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr
186
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_offset
187
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_base
188
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr
189
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_base
190
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_offset
191
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenr
192
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramwaddr
193
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenw
194
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramraddr
195
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_ramenr
196
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/offset_ramwaddr
197 25 mikel262
add wave -noupdate -divider FDCT
198
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk
199
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst
200
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb
201
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb
202
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en
203 40 mikel262
add wave -noupdate -format Literal -radix unsigned -expand /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings
204 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_block_cnt
205
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd
206
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty
207
add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q
208
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full
209
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int
210
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data
211
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q
212
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we
213
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr
214
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr
215
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d
216
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd
217
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_empty_d1
218
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started
219
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel
220
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr
221
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data
222
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden
223
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x
224
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y
225
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof
226
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in
227
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval
228
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval
229
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out
230
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1
231
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1
232
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt
233
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt
234
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_block_cnt_cur
235
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_block_cnt_cur
236
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx
237
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx
238
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr
239
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt
240
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en
241
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1
242
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr
243
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval
244
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt
245
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data
246
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q
247
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we
248
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr
249
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr
250
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt
251
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt
252
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1
253
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr
254
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1
255
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2
256
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3
257
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1
258
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2
259
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3
260
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1
261
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2
262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3
263
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg
264
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg
265
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg
266
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s
267
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s
268
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s
269
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit
270
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit
271
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit
272
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1
273
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2
274
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3
275
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4
276
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5
277
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6
278
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7
279
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8
280
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9
281
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd
282
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr
283
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q
284
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full
285
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty
286
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count
287
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt
288
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval
289
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in
290
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm
291
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
292
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s
293
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad
294
add wave -noupdate -divider ZZ_TOP
295
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk
296
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst
297
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb
298
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb
299 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zig_sm_settings
300
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qua_buf_sel
301
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_rdaddr
302
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_data
303 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel
304
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr
305 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data
306
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_rden
307 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data
308 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q
309 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we
310
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr
311
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr
312 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di
313 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid
314 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout
315 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid
316
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt
317
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt
318
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d
319
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en
320
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s
321 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zz_rd_addr
322
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_empty
323
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_rden
324 25 mikel262
add wave -noupdate -divider {zigzag core}
325
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
326
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
327
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
328
add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
329
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
330
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
331
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
332
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
333
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
334
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
335
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
336
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
337
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
338
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
339
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
340 34 mikel262
add wave -noupdate -divider QUANT_TOP
341
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/clk
342
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rst
343
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/start_pb
344
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/ready_pb
345
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qua_sm_settings
346
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rle_buf_sel
347
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_rdaddr
348
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_data
349
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel
350
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_rd_addr
351
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_data
352
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qdata
353
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qaddr
354
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/qwren
355
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_data
356
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_q
357
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/dbuf_we
358
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_waddr
359
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_raddr
360
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zigzag_di
361
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zigzag_divalid
362 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/quant_dout
363 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/quant_dovalid
364
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/wr_cnt
365
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_cnt
366
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_en_d
367
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rd_en
368
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel_s
369
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zz_rd_addr
370
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/fifo_empty
371 25 mikel262
add wave -noupdate -divider quantizer
372 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/rst
373
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/clk
374
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di
375
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divalid
376
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qdata
377
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwaddr
378
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwren
379
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/cmp_idx
380
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do
381
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/dovalid
382
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romaddr_s
383
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/slv_romaddr_s
384
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romdatao_s
385
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divisor_s
386
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/remainder_s
387
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_s
388
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/round_s
389
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di_d1
390
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/pipeline_reg
391
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/sign_bit_pipe
392
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_rdiv
393
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/table_select
394 25 mikel262
add wave -noupdate -divider RLE_TOP
395
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk
396
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst
397
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb
398
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb
399 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel
400
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel_s
401
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/qua_data
402
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/qua_rd_addr
403 25 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings
404
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel
405
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden
406
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength
407
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size
408
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude
409
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval
410
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty
411
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data
412
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q
413
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we
414
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength
415
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size
416
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude
417
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid
418 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt
419 25 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di
420
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid
421
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0
422
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
423
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
424
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
425
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
426
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
427
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
428
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
429
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
430
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
431
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
432
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
433
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
434
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
435
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
436
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
437
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
438
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
439
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
440
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
441
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
442
add wave -noupdate -divider rle_core
443
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst
444
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk
445
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di
446 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid
447
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_di
448 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb
449
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof
450 36 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rle_sm_settings
451
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength
452
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size
453
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude
454
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid
455
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_addr
456
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_0
457
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_1
458
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_2
459 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg
460 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg
461 36 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg
462 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg
463
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg
464 36 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt
465 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1
466 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt
467
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_cnt
468 36 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_en
469
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_proc
470 38 mikel262
add wave -noupdate -divider DoubleFIFO
471
add wave -noupdate -divider RLE_DoubleFIFO
472
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
473
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
474
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
475
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
476
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
477
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
478
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
479
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
480
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
481
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
482
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
483
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
484
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
485
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
486
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
487
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
488
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
489
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
490
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
491
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
492
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_data_in
493 25 mikel262
add wave -noupdate -divider HUFFMAN
494
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk
495
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst
496
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb
497
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb
498 40 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/huf_sm_settings
499 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof
500
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength
501
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size
502
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli
503
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x
504
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y
505
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel
506
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty
507
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state
508
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s
509
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word
510
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg
511
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr
512
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs
513
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte
514
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt
515
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren
516
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block
517 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/image_area_size
518
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/block_cnt
519 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en
520
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d
521
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d
522
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size
523
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc
524
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext
525
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size
526
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size
527
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc
528
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size
529
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac
530 38 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val
531 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1
532
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2
533
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3
534
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw
535
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running
536
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r
537
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r
538
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel
539
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty
540
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req
541
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte
542
add wave -noupdate -divider BYTE_STUFFER
543
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk
544
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst
545
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb
546
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb
547
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
548
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof
549
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes
550
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr
551
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel
552
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty
553
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req
554
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte
555
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte
556
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid
557
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata
558
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val
559
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg
560
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr
561
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt
562
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s
563
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en
564
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s
565
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren
566
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr
567
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte
568
add wave -noupdate -format Logic /jpeg_tb/sim_done
569
add wave -noupdate -divider JFIFGen
570
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk
571
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst
572
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start
573
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready
574
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi
575
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren
576
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr
577
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata
578
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg
579
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr
580
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte
581
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren
582
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr
583
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data
584
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr
585
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr
586
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we
587
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q
588
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt
589
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr
590
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt
591
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en
592
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1
593
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1
594
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2
595
add wave -noupdate -divider OutMux
596
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk
597
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst
598
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl
599
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte
600
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren
601
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr
602
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte
603
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren
604
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr
605
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte
606
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren
607
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr
608
TreeUpdate [SetDefaultTree]
609 40 mikel262
WaveRestoreCursors {{Cursor 4} {39105000 ps} 0}
610 25 mikel262
configure wave -namecolwidth 150
611
configure wave -valuecolwidth 55
612
configure wave -justifyvalue left
613
configure wave -signalnamewidth 1
614
configure wave -snapdistance 10
615
configure wave -datasetprefix 0
616
configure wave -rowmargin 4
617
configure wave -childrowmargin 2
618
configure wave -gridoffset 0
619
configure wave -gridperiod 1
620
configure wave -griddelta 40
621
configure wave -timeline 0
622
configure wave -timelineunits ps
623
update
624 40 mikel262
WaveRestoreZoom {38836051 ps} {39349773 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.