OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [wave.do] - Blame information for rev 52

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
onerror {resume}
2
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad
3
quietly WaveActivateNextPane {} 0
4
add wave -noupdate -divider HostBFM
5
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk
6
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst
7
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus
8
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be
9
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in
10
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw
11
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select
12
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out
13
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack
14
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry
15
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup
16
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack
17
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata
18
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren
19
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full
20
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done
21
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
22
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
23
add wave -noupdate -divider JpegEnc
24 42 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/outif_almost_full
25 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
26
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
27
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
28
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be
29
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in
30
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw
31
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select
32
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out
33
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack
34
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry
35
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup
36
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack
37
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata
38
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren
39
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte
40
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren
41
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr
42
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata
43
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr
44
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren
45
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready
46
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy
47
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr
48
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes
49
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x
50
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y
51
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof
52
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden
53
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr
54
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata
55
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start
56
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready
57
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start
58
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready
59
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start
60
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready
61
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start
62
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready
63
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start
64
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready
65
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel
66
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr
67
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data
68
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel
69
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr
70
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data
71
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel
72
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr
73
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden
74
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength
75
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size
76
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude
77
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval
78
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel
79
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty
80
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req
81
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte
82
add wave -noupdate -divider CtrlSM
83
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk
84
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst
85
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof
86 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x
87
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y
88 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready
89
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy
90 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/cmp_max
91 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start
92
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready
93 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_sm_settings
94 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start
95
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready
96 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/zig_sm_settings
97
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_start
98
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_ready
99
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/qua_sm_settings
100 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start
101
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready
102 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rle_sm_settings
103 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start
104
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready
105 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/huf_sm_settings
106 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start
107
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready
108 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/bs_sm_settings
109
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_start
110
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_ready
111
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_eoi
112
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl
113
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg
114 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state
115 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start
116
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/idle
117
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb
118
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb
119
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fsm
120 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d
121 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rsm
122
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s
123
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s2
124 25 mikel262
add wave -noupdate -divider BUF_FIFO
125
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
126
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
127 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
128
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
129 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
130
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
131
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
132 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock
133
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(10)
134
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(9)
135
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(8)
136
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(7)
137
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(6)
138
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(5)
139
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(4)
140
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(3)
141
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(2)
142
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(1)
143
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/block_lock(0)
144 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
145 52 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_hf_full
146 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_block_cnt
147
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
148
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
149 52 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
150
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/line_cnt
151 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramq
152 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramd
153
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_d1
154
add wave -noupdate -format Literal -height 74 -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr
155 28 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenw
156 52 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr
157
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/write_ptr
158
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/read_ptr
159
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pix_inblk_cnt
160
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_inblk_cnt
161
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/write_block_cnt
162
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/read_block_cnt
163
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_int
164 25 mikel262
add wave -noupdate -divider FDCT
165
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk
166
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst
167
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb
168
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb
169
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en
170 40 mikel262
add wave -noupdate -format Literal -radix unsigned -expand /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings
171 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_block_cnt
172
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd
173 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval
174 25 mikel262
add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q
175
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full
176
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int
177
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data
178
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q
179
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we
180
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr
181
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr
182
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d
183
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd
184
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started
185
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel
186
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr
187
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data
188
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden
189
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x
190
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y
191
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof
192
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in
193
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval
194
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval
195
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out
196
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1
197
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1
198
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx
199
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx
200
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr
201
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt
202
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en
203
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1
204
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr
205
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt
206
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data
207
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q
208
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we
209
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr
210
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr
211
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt
212
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt
213
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1
214
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr
215
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1
216
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2
217
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3
218
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1
219
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2
220
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3
221
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1
222
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2
223
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3
224
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg
225
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg
226
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg
227
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s
228
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s
229
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s
230
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit
231
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit
232
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit
233
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1
234
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2
235
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3
236
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4
237
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5
238
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6
239
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7
240
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8
241
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9
242
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd
243
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr
244
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q
245
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full
246
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty
247
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count
248
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt
249
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval
250
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in
251
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm
252
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
253
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s
254
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad
255
add wave -noupdate -divider ZZ_TOP
256
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk
257
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst
258
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb
259
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb
260 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zig_sm_settings
261
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qua_buf_sel
262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_rdaddr
263
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_data
264 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel
265
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr
266 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data
267
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_rden
268 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data
269 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q
270 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we
271
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr
272
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr
273 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di
274 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid
275 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout
276 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid
277
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt
278
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt
279
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d
280
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en
281
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s
282 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zz_rd_addr
283
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_empty
284
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_rden
285 25 mikel262
add wave -noupdate -divider {zigzag core}
286
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
287
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
288
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
289
add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
290
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
291
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
292
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
293
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
294
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
295
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
296
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
297
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
298
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
299
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
300
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
301 34 mikel262
add wave -noupdate -divider QUANT_TOP
302
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/clk
303
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rst
304
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/start_pb
305
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/ready_pb
306
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qua_sm_settings
307
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rle_buf_sel
308
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_rdaddr
309
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_data
310
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel
311
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_rd_addr
312
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_data
313
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qdata
314
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qaddr
315
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/qwren
316
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_data
317
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_q
318
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/dbuf_we
319
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_waddr
320
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_raddr
321
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zigzag_di
322
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zigzag_divalid
323 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/quant_dout
324 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/quant_dovalid
325
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/wr_cnt
326
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_cnt
327
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_en_d
328
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rd_en
329
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel_s
330
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zz_rd_addr
331
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/fifo_empty
332 25 mikel262
add wave -noupdate -divider quantizer
333 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/rst
334
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/clk
335
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di
336
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divalid
337
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qdata
338
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwaddr
339
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwren
340
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/cmp_idx
341
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do
342
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/dovalid
343
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romaddr_s
344
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/slv_romaddr_s
345
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romdatao_s
346
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divisor_s
347
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/remainder_s
348
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_s
349
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/round_s
350
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di_d1
351
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/pipeline_reg
352
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/sign_bit_pipe
353
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_rdiv
354
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/table_select
355 25 mikel262
add wave -noupdate -divider RLE_TOP
356
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk
357
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst
358
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb
359
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb
360 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel
361
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel_s
362
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/qua_data
363
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/qua_rd_addr
364 25 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings
365
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel
366
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden
367
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength
368
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size
369
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude
370
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval
371
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty
372
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data
373
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q
374
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we
375
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength
376
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size
377
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude
378
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid
379 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt
380 25 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di
381
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid
382
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0
383
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
384
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
385
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
386
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
387
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
388
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
389
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
390
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
391
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
392
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
393
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
394
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
395
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
396
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
397
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
398
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
399
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
400
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
401
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
402
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
403
add wave -noupdate -divider rle_core
404
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst
405
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk
406
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di
407 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid
408
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_di
409 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb
410
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof
411 36 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rle_sm_settings
412
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength
413
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size
414
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude
415
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid
416
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_addr
417
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_0
418
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_1
419
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_2
420 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg
421 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg
422 36 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg
423 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg
424
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg
425 36 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt
426 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1
427 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt
428
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_cnt
429 36 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_en
430
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_proc
431 38 mikel262
add wave -noupdate -divider DoubleFIFO
432
add wave -noupdate -divider RLE_DoubleFIFO
433
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
434
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
435
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
436
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
437
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
438
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
439
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
440
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
441
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
442
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
443
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
444
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
445
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
446
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
447
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
448
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
449
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
450
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
451
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
452
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
453
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_data_in
454 25 mikel262
add wave -noupdate -divider HUFFMAN
455
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk
456
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst
457
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb
458
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb
459 40 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/huf_sm_settings
460 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof
461
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength
462
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size
463
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli
464
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x
465
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y
466
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel
467
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty
468
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state
469
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s
470
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word
471
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg
472
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr
473
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs
474
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte
475
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt
476
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren
477
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block
478 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/image_area_size
479
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/block_cnt
480 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en
481
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d
482
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d
483
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size
484
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc
485
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext
486
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size
487
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size
488
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc
489
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size
490
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac
491 38 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val
492 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1
493
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2
494
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3
495
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw
496
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running
497
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r
498
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r
499
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel
500
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty
501
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req
502
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte
503
add wave -noupdate -divider BYTE_STUFFER
504
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk
505
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst
506
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb
507
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb
508
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
509
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof
510
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes
511
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr
512
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel
513
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty
514
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req
515
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte
516
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte
517
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid
518
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata
519
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val
520
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg
521
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr
522
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt
523
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s
524
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en
525
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s
526
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren
527
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr
528
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte
529
add wave -noupdate -format Logic /jpeg_tb/sim_done
530
add wave -noupdate -divider JFIFGen
531
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk
532
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst
533
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start
534
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready
535
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi
536
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren
537
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr
538
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata
539
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg
540
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr
541
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte
542
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren
543
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr
544
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data
545
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr
546
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr
547
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we
548
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q
549
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt
550
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr
551
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt
552
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en
553
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1
554
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1
555
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2
556
add wave -noupdate -divider OutMux
557
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk
558
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst
559
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl
560
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte
561
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren
562
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr
563
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte
564
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren
565
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr
566
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte
567
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren
568
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr
569
TreeUpdate [SetDefaultTree]
570 52 mikel262
WaveRestoreCursors {{Cursor 4} {15100525000 ps} 0}
571 25 mikel262
configure wave -namecolwidth 150
572 52 mikel262
configure wave -valuecolwidth 83
573 25 mikel262
configure wave -justifyvalue left
574
configure wave -signalnamewidth 1
575
configure wave -snapdistance 10
576
configure wave -datasetprefix 0
577
configure wave -rowmargin 4
578
configure wave -childrowmargin 2
579
configure wave -gridoffset 0
580
configure wave -gridperiod 1
581
configure wave -griddelta 40
582
configure wave -timeline 0
583
configure wave -timelineunits ps
584
update
585 52 mikel262
WaveRestoreZoom {3854654563 ps} {22956070813 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.