OpenCores
URL https://opencores.org/ocsvn/mkjpeg/mkjpeg/trunk

Subversion Repositories mkjpeg

[/] [mkjpeg/] [trunk/] [tb/] [wave.do] - Blame information for rev 56

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 mikel262
onerror {resume}
2
quietly virtual signal -install /jpeg_tb/u_jpegenc/u_fdct { /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr(5 downto 0)} wad
3
quietly WaveActivateNextPane {} 0
4
add wave -noupdate -divider HostBFM
5
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/clk
6
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/rst
7
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_abus
8
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_be
9
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_in
10
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_rnw
11
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_select
12
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/opb_dbus_out
13
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_xferack
14
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_retry
15
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_toutsup
16
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/opb_errack
17
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/iram_wdata
18
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/iram_wren
19
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/fifo_almost_full
20
add wave -noupdate -format Logic /jpeg_tb/u_hostbfm/sim_done
21
add wave -noupdate -format Literal /jpeg_tb/u_hostbfm/num_comps
22
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_hostbfm/addr_inc
23
add wave -noupdate -divider JpegEnc
24 42 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/outif_almost_full
25 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/clk
26
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rst
27
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_abus
28
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_be
29
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_in
30
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_rnw
31
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_select
32
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/opb_dbus_out
33
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_xferack
34
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_retry
35
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_toutsup
36
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/opb_errack
37
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/iram_wdata
38
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/iram_wren
39
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_byte
40
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/ram_wren
41
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/ram_wraddr
42
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qdata
43
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/qaddr
44
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/qwren
45
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_ready
46
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpeg_busy
47
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/outram_base_addr
48
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/num_enc_bytes
49
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_x
50
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/img_size_y
51
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/sof
52
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/jpg_iram_rden
53
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdaddr
54
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/jpg_iram_rdata
55
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_start
56
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/fdct_ready
57
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_start
58
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zig_ready
59
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_start
60
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_ready
61
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_start
62
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_ready
63
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_start
64
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_ready
65
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/zz_buf_sel
66
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_rd_addr
67
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/zz_data
68
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/rle_buf_sel
69
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_rdaddr
70
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/rle_data
71
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_buf_sel
72
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_rdaddr
73
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_rden
74
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_runlength
75
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_size
76
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/huf_amplitude
77
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/huf_dval
78
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_buf_sel
79
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_fifo_empty
80
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/bs_rd_req
81
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_packed_byte
82
add wave -noupdate -divider CtrlSM
83
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/clk
84
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rst
85
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/sof
86 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_x
87
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/img_size_y
88 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_ready
89
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jpeg_busy
90 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/cmp_max
91 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_start
92
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_ready
93 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fdct_sm_settings
94 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_start
95
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/zig_ready
96 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/zig_sm_settings
97
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_start
98
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/qua_ready
99
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/qua_sm_settings
100 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_start
101
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/rle_ready
102 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rle_sm_settings
103 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_start
104
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/huf_ready
105 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/huf_sm_settings
106 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_start
107
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/bs_ready
108 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/bs_sm_settings
109
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_start
110
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_ready
111
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/jfif_eoi
112
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl
113
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/reg
114 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/main_state
115 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start
116
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/idle
117
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/start_pb
118
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/ready_pb
119
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/fsm
120 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/start1_d
121 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_ctrlsm/rsm
122
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s
123
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_ctrlsm/out_mux_ctrl_s2
124 25 mikel262
add wave -noupdate -divider BUF_FIFO
125
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/clk
126
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/rst
127 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_x
128
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/img_size_y
129 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/sof
130
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wren
131
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/iram_wdata
132
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fifo_almost_full
133
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_rd
134
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_q
135 56 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/fdct_fifo_hf_full
136 52 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/pixel_cnt
137 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_cnt
138 28 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramq
139 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramd
140 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr
141 28 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/ramenw
142 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr
143 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/pix_inblk_cnt
144 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/read_block_cnt
145
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/write_block_cnt
146
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramraddr_int
147
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/raddr_base_line
148
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/raddr_tmp
149
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/ramwaddr_d1
150
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_lock
151
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/memwr_line_cnt
152
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/memwr_line_cnt
153
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/wr_line_idx
154 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/line_inblk_cnt
155 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_buf_fifo/memrd_offs_cnt
156
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/memrd_line
157
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_buf_fifo/rd_line_idx
158
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_buf_fifo/image_write_end
159 25 mikel262
add wave -noupdate -divider FDCT
160
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/clk
161
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rst
162
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_pb
163
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/ready_pb
164
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/writing_en
165 40 mikel262
add wave -noupdate -format Literal -radix unsigned -expand /jpeg_tb/u_jpegenc/u_fdct/fdct_sm_settings
166 56 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx
167 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd
168 52 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/bf_dval
169 25 mikel262
add wave -noupdate -format Literal -radix hexadecimal /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_q
170
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_hf_full
171
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/start_int
172
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_data
173
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_q
174
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_we
175
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_waddr
176
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fram1_raddr
177
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fram1_rd_d
178
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fram1_rd
179
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_started
180
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_buf_sel
181
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_rd_addr
182
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/zz_data
183
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/zz_rden
184
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_x
185
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/img_size_y
186
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/sof
187
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/mdct_data_in
188
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_idval
189
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/mdct_odval
190
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/mdct_data_out
191
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/odv1
192
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dcto1
193
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cmp_idx
194
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rd_addr
195
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/input_rd_cnt
196
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en
197
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/rd_en_d1
198 56 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
199
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/x_pixel_cnt
200
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/y_line_cnt
201 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/rdaddr
202
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wr_cnt
203
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_data
204
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q
205
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/dbuf_we
206
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_waddr
207
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_raddr
208
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/xw_cnt
209
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/yw_cnt
210
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/dbuf_q_z1
211
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/sim_rd_addr
212
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_1
213
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_2
214
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg_3
215
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_1
216
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_2
217
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg_3
218
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_1
219
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_2
220
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg_3
221
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_reg
222
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_reg
223
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_reg
224
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/r_s
225
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/g_s
226
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/b_s
227
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/y_8bit
228
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cb_8bit
229
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cr_8bit
230
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d1
231
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d2
232
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d3
233
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d4
234
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d5
235
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d6
236
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d7
237
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d8
238
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/cur_cmp_idx_d9
239
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd
240
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_wr
241
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_q
242
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_full
243
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_empty
244
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_fdct/fifo1_count
245
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo1_rd_cnt
246
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo1_q_dval
247
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/fifo_data_in
248
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/fifo_rd_arm
249
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/eoi_fdct
250
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_fdct/bf_fifo_rd_s
251
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_fdct/wad
252
add wave -noupdate -divider ZZ_TOP
253
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/clk
254
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rst
255
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/start_pb
256
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/ready_pb
257 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zig_sm_settings
258
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/qua_buf_sel
259
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_rdaddr
260
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/qua_data
261 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel
262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_rd_addr
263 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/fdct_data
264
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_rden
265 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_data
266 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_q
267 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/dbuf_we
268
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_waddr
269
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/dbuf_raddr
270 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_di
271 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_divalid
272 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dout
273 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/zigzag_dovalid
274
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/wr_cnt
275
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_cnt
276
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/rd_en_d
277
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/rd_en
278
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fdct_buf_sel_s
279 34 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/zz_rd_addr
280
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_empty
281
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/fifo_rden
282 25 mikel262
add wave -noupdate -divider {zigzag core}
283
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rst
284
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/clk
285
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/di
286
add wave -noupdate -format Logic -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/divalid
287
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/rd_addr
288
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_rden
289
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_empty
290
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dout
291
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/dovalid
292
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/zz_rd_addr
293
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_wr
294
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_q
295
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_full
296
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_count
297
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_zz_top/u_zigzag/fifo_data_in
298 34 mikel262
add wave -noupdate -divider QUANT_TOP
299
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/clk
300
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rst
301
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/start_pb
302
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/ready_pb
303
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qua_sm_settings
304
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rle_buf_sel
305
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_rdaddr
306
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rle_data
307
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel
308
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_rd_addr
309
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zig_data
310
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qdata
311
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/qaddr
312
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/qwren
313
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_data
314
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_q
315
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/dbuf_we
316
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_waddr
317
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/dbuf_raddr
318
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zigzag_di
319
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zigzag_divalid
320 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_quant_top/quant_dout
321 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/quant_dovalid
322
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/wr_cnt
323
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_cnt
324
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/rd_en_d
325
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/rd_en
326
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/zig_buf_sel_s
327
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/zz_rd_addr
328
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/fifo_empty
329 25 mikel262
add wave -noupdate -divider quantizer
330 34 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/rst
331
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/clk
332
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di
333
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divalid
334
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qdata
335
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwaddr
336
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/qwren
337
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/cmp_idx
338
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do
339
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/dovalid
340
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romaddr_s
341
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/slv_romaddr_s
342
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/romdatao_s
343
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/divisor_s
344
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/remainder_s
345
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_s
346
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/round_s
347
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/di_d1
348
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/pipeline_reg
349
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/sign_bit_pipe
350
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/do_rdiv
351
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_quant_top/u_quantizer/table_select
352 25 mikel262
add wave -noupdate -divider RLE_TOP
353
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/clk
354
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rst
355
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/start_pb
356
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/ready_pb
357 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel
358
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/qua_buf_sel_s
359
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/qua_data
360
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/qua_rd_addr
361 25 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/rle_sm_settings
362
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_buf_sel
363
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_rden
364
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/huf_runlength
365
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_size
366
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/huf_amplitude
367
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval
368
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_fifo_empty
369
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_data
370
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/dbuf_q
371
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/dbuf_we
372
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_runlength
373
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/rle_size
374
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_amplitude
375
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_dovalid
376 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/wr_cnt
377 25 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/rle_di
378
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/rle_divalid
379
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/huf_dval_p0
380
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
381
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
382
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
383
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
384
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
385
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
386
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
387
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
388
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
389
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
390
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
391
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
392
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
393
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
394
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
395
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
396
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
397
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
398
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
399
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
400
add wave -noupdate -divider rle_core
401
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rst
402
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/clk
403
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/di
404 40 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/divalid
405
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_di
406 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/start_pb
407
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/sof
408 36 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rle_sm_settings
409
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength
410
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size
411
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/amplitude
412
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid
413
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_addr
414
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_0
415
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_1
416
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/prev_dc_reg_2
417 40 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/acc_reg
418 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/size_reg
419 36 mikel262
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/ampli_vli_reg
420 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/runlength_reg
421
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/dovalid_reg
422 36 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zero_cnt
423 25 mikel262
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt_d1
424 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/wr_cnt
425
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_cnt
426 36 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/rd_en
427
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rle/zrl_proc
428 38 mikel262
add wave -noupdate -divider DoubleFIFO
429
add wave -noupdate -divider RLE_DoubleFIFO
430
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/clk
431
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rst
432
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_in
433
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/wren
434
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/buf_sel
435
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/rd_req
436
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_empty
437
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/data_out
438
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_rd
439
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_wr
440
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_q
441
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_full
442
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_empty
443
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo1_count
444
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_rd
445
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_wr
446
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_q
447
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_full
448
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_empty
449
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo2_count
450
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_rle_top/u_rledoublefifo/fifo_data_in
451 25 mikel262
add wave -noupdate -divider HUFFMAN
452
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/clk
453
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rst
454
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/start_pb
455
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_pb
456 40 mikel262
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/huf_sm_settings
457 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/sof
458
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/runlength
459
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size
460
add wave -noupdate -format Literal -radix decimal /jpeg_tb/u_jpegenc/u_huffman/vli
461
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_x
462
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/img_size_y
463
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel
464
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_fifo_empty
465
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/state
466
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rle_buf_sel_s
467
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/first_rle_word
468
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/word_reg
469
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/bit_ptr
470
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/num_fifo_wrs
471
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wbyte
472
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/fifo_wrt_cnt
473
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/fifo_wren
474
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/last_block
475 40 mikel262
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/image_area_size
476
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_huffman/block_cnt
477 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/rd_en
478
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_d
479
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_d
480
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_size
481
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc
482
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext
483
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_ext_size
484
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc_size
485
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_dc
486
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac_size
487
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vlc_ac
488 38 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val
489 25 mikel262
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d1
490
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d2
491
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/d_val_d3
492
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/ready_hfw
493
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/hfw_running
494
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_size_r
495
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/vli_r
496
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_buf_sel
497
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_fifo_empty
498
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_huffman/bs_rd_req
499
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_huffman/bs_packed_byte
500
add wave -noupdate -divider BYTE_STUFFER
501
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/clk
502
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rst
503
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/start_pb
504
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ready_pb
505
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/bs_sm_settings
506
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/sof
507
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_bytestuffer/num_enc_bytes
508
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/outram_base_addr
509
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel
510
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_fifo_empty
511
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req
512
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/huf_packed_byte
513
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/latch_byte
514
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/data_valid
515
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/wait_for_ndata
516
add wave -noupdate -format Literal -expand /jpeg_tb/u_jpegenc/u_bytestuffer/huf_data_val
517
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wdata_reg
518
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wraddr
519
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/wr_n_cnt
520
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_buf_sel_s
521
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/rd_en
522
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/huf_rd_req_s
523
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wren
524
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_wraddr
525
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_bytestuffer/ram_byte
526
add wave -noupdate -format Logic /jpeg_tb/sim_done
527
add wave -noupdate -divider JFIFGen
528
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/clk
529
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rst
530
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/start
531
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ready
532
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/eoi
533
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/qwren
534
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwaddr
535
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/qwdata
536
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg
537
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/image_size_reg_wr
538
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/ram_byte
539
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/ram_wren
540
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_jfifgen/ram_wraddr
541
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_data
542
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_waddr
543
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_raddr
544
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/hr_we
545
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/hr_q
546
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/size_wr_cnt
547
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/size_wr
548
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt
549
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en
550
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_jfifgen/rd_en_d1
551
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d1
552
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_jfifgen/rd_cnt_d2
553
add wave -noupdate -divider OutMux
554
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/clk
555
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/rst
556
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/out_mux_ctrl
557
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/bs_ram_byte
558
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wren
559
add wave -noupdate -format Literal -radix unsigned /jpeg_tb/u_jpegenc/u_outmux/bs_ram_wraddr
560
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_byte
561
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wren
562
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/jfif_ram_wraddr
563
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_byte
564
add wave -noupdate -format Logic /jpeg_tb/u_jpegenc/u_outmux/ram_wren
565
add wave -noupdate -format Literal /jpeg_tb/u_jpegenc/u_outmux/ram_wraddr
566
TreeUpdate [SetDefaultTree]
567 56 mikel262
WaveRestoreCursors {{Cursor 4} {1221815000 ps} 0}
568 25 mikel262
configure wave -namecolwidth 150
569 52 mikel262
configure wave -valuecolwidth 83
570 25 mikel262
configure wave -justifyvalue left
571
configure wave -signalnamewidth 1
572
configure wave -snapdistance 10
573
configure wave -datasetprefix 0
574
configure wave -rowmargin 4
575
configure wave -childrowmargin 2
576
configure wave -gridoffset 0
577
configure wave -gridperiod 1
578
configure wave -griddelta 40
579
configure wave -timeline 0
580
configure wave -timelineunits ps
581
update
582 56 mikel262
WaveRestoreZoom {0 ps} {6725160750 ps}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.