OpenCores
URL https://opencores.org/ocsvn/mod_mult_exp/mod_mult_exp/trunk

Subversion Repositories mod_mult_exp

[/] [mod_mult_exp/] [trunk/] [rtl/] [vhdl/] [commons/] [Reg.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 gajos
-----------------------------------------------------------------------
2
----                                                               ----
3
---- Montgomery modular multiplier and exponentiator               ----
4
----                                                               ----
5
---- This file is part of the Montgomery modular multiplier        ----
6
---- and exponentiator project                                     ----
7
---- http://opencores.org/project,mod_mult_exp                     ----
8
----                                                               ----
9
---- Description:                                                  ----
10
----     Register - nothing special.                               ----
11
---- To Do:                                                        ----
12
----                                                               ----
13
---- Author(s):                                                    ----
14
---- - Krzysztof Gajewski, gajos@opencores.org                     ----
15
----                       k.gajewski@gmail.com                    ----
16
----                                                               ----
17
-----------------------------------------------------------------------
18
----                                                               ----
19
---- Copyright (C) 2014 Authors and OPENCORES.ORG                  ----
20
----                                                               ----
21
---- This source file may be used and distributed without          ----
22
---- restriction provided that this copyright statement is not     ----
23
---- removed from the file and that any derivative work contains   ----
24
---- the original copyright notice and the associated disclaimer.  ----
25
----                                                               ----
26
---- This source file is free software; you can redistribute it    ----
27
---- and-or modify it under the terms of the GNU Lesser General    ----
28
---- Public License as published by the Free Software Foundation;  ----
29
---- either version 2.1 of the License, or (at your option) any    ----
30
---- later version.                                                ----
31
----                                                               ----
32
---- This source is distributed in the hope that it will be        ----
33
---- useful, but WITHOUT ANY WARRANTY; without even the implied    ----
34
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR       ----
35
---- PURPOSE. See the GNU Lesser General Public License for more   ----
36
---- details.                                                      ----
37
----                                                               ----
38
---- You should have received a copy of the GNU Lesser General     ----
39
---- Public License along with this source; if not, download it    ----
40
---- from http://www.opencores.org/lgpl.shtml                      ----
41
----                                                               ----
42
-----------------------------------------------------------------------
43
library IEEE;
44
use IEEE.STD_LOGIC_1164.ALL;
45
use work.properties.ALL;
46
 
47
-- Uncomment the following library declaration if using
48
-- arithmetic functions with Signed or Unsigned values
49
--use IEEE.NUMERIC_STD.ALL;
50
 
51
-- Uncomment the following library declaration if instantiating
52
-- any Xilinx primitives in this code.
53
--library UNISIM;
54
--use UNISIM.VComponents.all;
55
 
56
entity Reg is
57
    generic(word_size : integer := WORD_LENGTH);
58
         port(
59
             input  : in  STD_LOGIC_VECTOR(word_size - 1 downto 0);
60
                  output : out STD_LOGIC_VECTOR(word_size - 1 downto 0);
61
                  enable : in  STD_LOGIC;
62
                  clk    : in  STD_LOGIC;
63
                  reset  : in  STD_LOGIC
64
    );
65
end Reg;
66
 
67
architecture Behavioral of Reg is
68
 
69
signal reg : STD_LOGIC_VECTOR(word_size - 1 downto 0);
70
 
71
begin
72
    clock : process(clk, reset)
73
             begin
74
                      if (reset = '1') then
75
                                    reg <= (others => '0');
76
                           elsif (clk = '1' and clk'Event) then
77
                                    if (enable = '1') then
78
                                             reg <= input;
79
                                         end if;
80
                           end if;
81
                  end process clock;
82
                  output <= reg;
83
end Behavioral;
84
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.