OpenCores
URL https://opencores.org/ocsvn/mod_mult_exp/mod_mult_exp/trunk

Subversion Repositories mod_mult_exp

[/] [mod_mult_exp/] [trunk/] [rtl/] [vhdl/] [commons/] [dcms/] [dcms.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 gajos
////////////////////////////////////////////////////////////////////////////////
2
// Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
3
////////////////////////////////////////////////////////////////////////////////
4
//   ____  ____ 
5
//  /   /\/   / 
6
// /___/  \  /    Vendor: Xilinx 
7
// \   \   \/     Version : 14.1
8
//  \   \         Application : xaw2verilog
9
//  /   /         Filename : dcms.v
10
// /___/   /\     Timestamp : 03/24/2013 14:44:49
11
// \   \  /  \ 
12
//  \___\/\___\ 
13
//
14
//Command: xaw2verilog -intstyle E:/spent i praca/OpenCores/fin_134above/dcms.xaw -st dcms.v
15
//Design Name: dcms
16
//Device: xc3s500e-5fg320
17
//
18
// Module dcms
19
// Generated by Xilinx Architecture Wizard
20
// Written for synthesis tool: XST
21
`timescale 1ns / 1ps
22
 
23
module dcms(CLKIN_IN,
24
            CLKDV_OUT,
25
            CLK0_OUT);
26
 
27
    input CLKIN_IN;
28
   output CLKDV_OUT;
29
   output CLK0_OUT;
30
 
31
   wire CLKDV_BUF;
32
   wire CLKFB_IN;
33
   wire CLK0_BUF;
34
   wire GND_BIT;
35
 
36
   assign GND_BIT = 0;
37
   assign CLK0_OUT = CLKFB_IN;
38
   BUFG  CLKDV_BUFG_INST (.I(CLKDV_BUF),
39
                         .O(CLKDV_OUT));
40
   BUFG  CLK0_BUFG_INST (.I(CLK0_BUF),
41
                        .O(CLKFB_IN));
42
   DCM_SP #( .CLK_FEEDBACK("1X"), .CLKDV_DIVIDE(4.0), .CLKFX_DIVIDE(1),
43
         .CLKFX_MULTIPLY(4), .CLKIN_DIVIDE_BY_2("FALSE"),
44
         .CLKIN_PERIOD(20.000), .CLKOUT_PHASE_SHIFT("NONE"),
45
         .DESKEW_ADJUST("SYSTEM_SYNCHRONOUS"), .DFS_FREQUENCY_MODE("LOW"),
46
         .DLL_FREQUENCY_MODE("LOW"), .DUTY_CYCLE_CORRECTION("TRUE"),
47
         .FACTORY_JF(16'hC080), .PHASE_SHIFT(0), .STARTUP_WAIT("FALSE") )
48
         DCM_SP_INST (.CLKFB(CLKFB_IN),
49
                       .CLKIN(CLKIN_IN),
50
                       .DSSEN(GND_BIT),
51
                       .PSCLK(GND_BIT),
52
                       .PSEN(GND_BIT),
53
                       .PSINCDEC(GND_BIT),
54
                       .RST(GND_BIT),
55
                       .CLKDV(CLKDV_BUF),
56
                       .CLKFX(),
57
                       .CLKFX180(),
58
                       .CLK0(CLK0_BUF),
59
                       .CLK2X(),
60
                       .CLK2X180(),
61
                       .CLK90(),
62
                       .CLK180(),
63
                       .CLK270(),
64
                       .LOCKED(),
65
                       .PSDONE(),
66
                       .STATUS());
67
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.