OpenCores
URL https://opencores.org/ocsvn/mod_mult_exp/mod_mult_exp/trunk

Subversion Repositories mod_mult_exp

[/] [mod_mult_exp/] [trunk/] [rtl/] [vhdl/] [commons/] [dcms.vhd] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 gajos
--------------------------------------------------------------------------------
2
-- Copyright (c) 1995-2012 Xilinx, Inc.  All rights reserved.
3
--------------------------------------------------------------------------------
4
--   ____  ____ 
5
--  /   /\/   / 
6
-- /___/  \  /    Vendor: Xilinx 
7
-- \   \   \/     Version : 14.2
8
--  \   \         Application : xaw2vhdl
9
--  /   /         Filename : dcms.vhd
10
-- /___/   /\     Timestamp : 08/13/2019 22:16:29
11
-- \   \  /  \ 
12
--  \___\/\___\ 
13
--
14
--Command: xaw2vhdl-intstyle E:/spent i praca/OpenCores/ModMultExp_opencores_edition/rtl/vhdl/commons/dcms/dcms.xaw -st dcms.vhd
15
--Design Name: dcms
16
--Device: xc3s500e-5fg320
17
--
18
-- Module dcms
19
-- Generated by Xilinx Architecture Wizard
20
-- Written for synthesis tool: XST
21
 
22
library ieee;
23
use ieee.std_logic_1164.ALL;
24
use ieee.numeric_std.ALL;
25
library UNISIM;
26
use UNISIM.Vcomponents.ALL;
27
 
28
entity dcms is
29
   port ( CLKIN_IN   : in    std_logic;
30
          CLKDV_OUT  : out   std_logic;
31
          CLKDV_OUT1 : out   std_logic;
32
          CLKDV_OUT2 : out   std_logic;
33
          CLKDV_OUT3 : out   std_logic;
34
          CLK0_OUT   : out   std_logic);
35
end dcms;
36
 
37
architecture BEHAVIORAL of dcms is
38
   signal CLKDV_BUF  : std_logic;
39
   signal CLKFB_IN   : std_logic;
40
   signal CLK0_BUF   : std_logic;
41
   signal GND_BIT    : std_logic;
42
begin
43
   GND_BIT <= '0';
44
   CLK0_OUT <= CLKFB_IN;
45
   CLKDV_BUFG_INST : BUFG
46
      port map (I=>CLKDV_BUF,
47
                O=>CLKDV_OUT);
48
 
49
   CLKDV_BUFG_INST1 : BUFG
50
      port map (I=>CLKDV_BUF,
51
                O=>CLKDV_OUT1);
52
 
53
   CLKDV_BUFG_INST2 : BUFG
54
      port map (I=>CLKDV_BUF,
55
                O=>CLKDV_OUT2);
56
 
57
   CLKDV_BUFG_INST3 : BUFG
58
      port map (I=>CLKDV_BUF,
59
                O=>CLKDV_OUT3);
60
 
61
   CLK0_BUFG_INST : BUFG
62
      port map (I=>CLK0_BUF,
63
                O=>CLKFB_IN);
64
 
65
   DCM_SP_INST : DCM_SP
66
   generic map( CLK_FEEDBACK => "1X",
67
            CLKDV_DIVIDE => 5.0,
68
            CLKFX_DIVIDE => 1,
69
            CLKFX_MULTIPLY => 4,
70
            CLKIN_DIVIDE_BY_2 => FALSE,
71
            CLKIN_PERIOD => 20.000,
72
            CLKOUT_PHASE_SHIFT => "NONE",
73
            DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
74
            DFS_FREQUENCY_MODE => "LOW",
75
            DLL_FREQUENCY_MODE => "LOW",
76
            DUTY_CYCLE_CORRECTION => TRUE,
77
            FACTORY_JF => x"C080",
78
            PHASE_SHIFT => 0,
79
            STARTUP_WAIT => FALSE)
80
      port map (CLKFB=>CLKFB_IN,
81
                CLKIN=>CLKIN_IN,
82
                DSSEN=>GND_BIT,
83
                PSCLK=>GND_BIT,
84
                PSEN=>GND_BIT,
85
                PSINCDEC=>GND_BIT,
86
                RST=>GND_BIT,
87
                CLKDV=>CLKDV_BUF,
88
                CLKFX=>open,
89
                CLKFX180=>open,
90
                CLK0=>CLK0_BUF,
91
                CLK2X=>open,
92
                CLK2X180=>open,
93
                CLK90=>open,
94
                CLK180=>open,
95
                CLK270=>open,
96
                LOCKED=>open,
97
                PSDONE=>open,
98
                STATUS=>open);
99
 
100
end BEHAVIORAL;
101
 
102
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.