OpenCores
URL https://opencores.org/ocsvn/mod_mult_exp/mod_mult_exp/trunk

Subversion Repositories mod_mult_exp

[/] [mod_mult_exp/] [trunk/] [rtl/] [vhdl/] [mod_exp/] [blockMemory512/] [blockMemory/] [simulation/] [timing/] [simulate_mti.do] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 gajos
# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
2
#
3
# This file contains confidential and proprietary information
4
# of Xilinx, Inc. and is protected under U.S. and
5
# international copyright and other intellectual property
6
# laws.
7
#
8
# DISCLAIMER
9
# This disclaimer is not a license and does not grant any
10
# rights to the materials distributed herewith. Except as
11
# otherwise provided in a valid license issued to you by
12
# Xilinx, and to the maximum extent permitted by applicable
13
# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
14
# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
15
# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
16
# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
17
# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
18
# (2) Xilinx shall not be liable (whether in contract or tort,
19
# including negligence, or under any other theory of
20
# liability) for any loss or damage of any kind or nature
21
# related to, arising under or in connection with these
22
# materials, including for any direct, or any indirect,
23
# special, incidental, or consequential loss or damage
24
# (including loss of data, profits, goodwill, or any type of
25
# loss or damage suffered as a result of any action brought
26
# by a third party) even if such damage or loss was
27
# reasonably foreseeable or Xilinx had been advised of the
28
# possibility of the same.
29
#
30
# CRITICAL APPLICATIONS
31
# Xilinx products are not designed or intended to be fail-
32
# safe, or for use in any application requiring fail-safe
33
# performance, such as life-support or safety devices or
34
# systems, Class III medical devices, nuclear facilities,
35
# applications related to the deployment of airbags, or any
36
# other applications that could lead to death, personal
37
# injury, or severe property or environmental damage
38
# (individually and collectively, "Critical
39
# Applications"). Customer assumes the sole risk and
40
# liability of any use of Xilinx products in Critical
41
# Applications, subject only to applicable laws and
42
# regulations governing limitations on product liability.
43
#
44
# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
45
# PART OF THIS FILE AT ALL TIMES.
46
set work work
47
#--------------------------------------------------------------------------------
48
 
49
vlib work
50
vmap work work
51
 
52
echo "Compiling Core VHDL UNISIM/Behavioral model"
53
vcom  -work work ../../implement/results/routed.vhd
54
 
55
echo "Compiling Test Bench Files"
56
 
57
vcom -work work    ../bmg_tb_pkg.vhd
58
vcom -work work    ../random.vhd
59
vcom -work work    ../data_gen.vhd
60
vcom -work work    ../addr_gen.vhd
61
vcom -work work    ../checker.vhd
62
vcom -work work    ../bmg_stim_gen.vhd
63
vcom -work work    ../blockMemory_synth.vhd
64
vcom -work work    ../blockMemory_tb.vhd
65
 
66
    vsim -novopt -t ps -L simprim   +transport_int_delays -sdftyp /blockMemory_tb/blockMemory_synth_inst/bmg_port=../../implement/results/routed.sdf $work.blockMemory_tb -novopt
67
 
68
#Disabled waveform to save the disk space
69
add log -r /*
70
#Ignore integer warnings at time 0
71
set StdArithNoWarnings 1
72
run 0
73
set StdArithNoWarnings 0
74
 
75
run -all

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.