OpenCores
URL https://opencores.org/ocsvn/mod_mult_exp/mod_mult_exp/trunk

Subversion Repositories mod_mult_exp

[/] [mod_mult_exp/] [trunk/] [rtl/] [vhdl/] [mod_exp/] [blockMemory64/] [blockMemory/] [simulation/] [blockMemory_synth.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 gajos
 
2
 
3
 
4
 
5
 
6
 
7
 
8
 
9
--------------------------------------------------------------------------------
10
--
11
-- BLK MEM GEN v7_1 Core - Synthesizable Testbench
12
--
13
--------------------------------------------------------------------------------
14
--
15
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
16
--
17
-- This file contains confidential and proprietary information
18
-- of Xilinx, Inc. and is protected under U.S. and
19
-- international copyright and other intellectual property
20
-- laws.
21
--
22
-- DISCLAIMER
23
-- This disclaimer is not a license and does not grant any
24
-- rights to the materials distributed herewith. Except as
25
-- otherwise provided in a valid license issued to you by
26
-- Xilinx, and to the maximum extent permitted by applicable
27
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
28
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
29
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
30
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
31
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
32
-- (2) Xilinx shall not be liable (whether in contract or tort,
33
-- including negligence, or under any other theory of
34
-- liability) for any loss or damage of any kind or nature
35
-- related to, arising under or in connection with these
36
-- materials, including for any direct, or any indirect,
37
-- special, incidental, or consequential loss or damage
38
-- (including loss of data, profits, goodwill, or any type of
39
-- loss or damage suffered as a result of any action brought
40
-- by a third party) even if such damage or loss was
41
-- reasonably foreseeable or Xilinx had been advised of the
42
-- possibility of the same.
43
--
44
-- CRITICAL APPLICATIONS
45
-- Xilinx products are not designed or intended to be fail-
46
-- safe, or for use in any application requiring fail-safe
47
-- performance, such as life-support or safety devices or
48
-- systems, Class III medical devices, nuclear facilities,
49
-- applications related to the deployment of airbags, or any
50
-- other applications that could lead to death, personal
51
-- injury, or severe property or environmental damage
52
-- (individually and collectively, "Critical
53
-- Applications"). Customer assumes the sole risk and
54
-- liability of any use of Xilinx products in Critical
55
-- Applications, subject only to applicable laws and
56
-- regulations governing limitations on product liability.
57
--
58
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
59
-- PART OF THIS FILE AT ALL TIMES.
60
 
61
--------------------------------------------------------------------------------
62
--
63
-- Filename: blockMemory_synth.vhd
64
--
65
-- Description:
66
--  Synthesizable Testbench
67
--------------------------------------------------------------------------------
68
-- Author: IP Solutions Division
69
--
70
-- History: Sep 12, 2011 - First Release
71
--------------------------------------------------------------------------------
72
--
73
--------------------------------------------------------------------------------
74
-- Library Declarations
75
--------------------------------------------------------------------------------
76
 
77
LIBRARY IEEE;
78
USE IEEE.STD_LOGIC_1164.ALL;
79
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
80
USE IEEE.STD_LOGIC_ARITH.ALL;
81
USE IEEE.NUMERIC_STD.ALL;
82
USE IEEE.STD_LOGIC_MISC.ALL;
83
 
84
LIBRARY STD;
85
USE STD.TEXTIO.ALL;
86
 
87
--LIBRARY unisim;
88
--USE unisim.vcomponents.ALL;
89
 
90
LIBRARY work;
91
USE work.ALL;
92
USE work.BMG_TB_PKG.ALL;
93
 
94
ENTITY blockMemory_synth IS
95
PORT(
96
        CLK_IN     : IN  STD_LOGIC;
97
    RESET_IN   : IN  STD_LOGIC;
98
    STATUS     : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0')   --ERROR STATUS OUT OF FPGA
99
    );
100
END ENTITY;
101
 
102
ARCHITECTURE blockMemory_synth_ARCH OF blockMemory_synth IS
103
 
104
 
105
COMPONENT blockMemory_exdes
106
  PORT (
107
      --Inputs - Port A
108
    RSTA           : IN STD_LOGIC;  --opt port
109
    WEA            : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
110
    ADDRA          : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
111
    DINA           : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
112
    DOUTA          : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
113
    CLKA       : IN STD_LOGIC
114
 
115
 
116
  );
117
 
118
END COMPONENT;
119
 
120
 
121
  SIGNAL CLKA: STD_LOGIC := '0';
122
  SIGNAL RSTA: STD_LOGIC := '0';
123
  SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
124
  SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
125
  SIGNAL ADDRA: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
126
  SIGNAL ADDRA_R: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0');
127
  SIGNAL DINA: STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0');
128
  SIGNAL DINA_R: STD_LOGIC_VECTOR(63 DOWNTO 0) := (OTHERS => '0');
129
  SIGNAL DOUTA: STD_LOGIC_VECTOR(63 DOWNTO 0);
130
  SIGNAL CHECKER_EN : STD_LOGIC:='0';
131
  SIGNAL CHECKER_EN_R : STD_LOGIC:='0';
132
  SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
133
  SIGNAL clk_in_i: STD_LOGIC;
134
 
135
  SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
136
  SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
137
  SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
138
 
139
  SIGNAL ITER_R0 : STD_LOGIC := '0';
140
  SIGNAL ITER_R1 : STD_LOGIC := '0';
141
  SIGNAL ITER_R2 : STD_LOGIC := '0';
142
 
143
  SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
144
  SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
145
 
146
  BEGIN
147
 
148
--  clk_buf: bufg
149
--    PORT map(
150
--      i => CLK_IN,
151
--      o => clk_in_i
152
--    );
153
   clk_in_i <= CLK_IN;
154
   CLKA <= clk_in_i;
155
 
156
   RSTA <= RESET_SYNC_R3 AFTER 50 ns;
157
 
158
 
159
   PROCESS(clk_in_i)
160
   BEGIN
161
      IF(RISING_EDGE(clk_in_i)) THEN
162
                 RESET_SYNC_R1 <= RESET_IN;
163
                 RESET_SYNC_R2 <= RESET_SYNC_R1;
164
                 RESET_SYNC_R3 <= RESET_SYNC_R2;
165
          END IF;
166
   END PROCESS;
167
 
168
 
169
PROCESS(CLKA)
170
BEGIN
171
  IF(RISING_EDGE(CLKA)) THEN
172
    IF(RESET_SYNC_R3='1') THEN
173
        ISSUE_FLAG_STATUS<= (OTHERS => '0');
174
          ELSE
175
        ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
176
   END IF;
177
  END IF;
178
END PROCESS;
179
 
180
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
181
 
182
 
183
 
184
   BMG_DATA_CHECKER_INST: ENTITY work.CHECKER
185
      GENERIC MAP (
186
         WRITE_WIDTH => 64,
187
                 READ_WIDTH  => 64      )
188
      PORT MAP (
189
         CLK     => CLKA,
190
         RST     => RSTA,
191
         EN      => CHECKER_EN_R,
192
         DATA_IN => DOUTA,
193
         STATUS  => ISSUE_FLAG(0)
194
           );
195
 
196
   PROCESS(CLKA)
197
   BEGIN
198
      IF(RISING_EDGE(CLKA)) THEN
199
         IF(RSTA='1') THEN
200
                    CHECKER_EN_R <= '0';
201
             ELSE
202
                    CHECKER_EN_R <= CHECKER_EN AFTER 50 ns;
203
         END IF;
204
      END IF;
205
   END PROCESS;
206
 
207
 
208
    BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN
209
     PORT MAP(
210
                CLK => clk_in_i,
211
                RST => RSTA,
212
                ADDRA  => ADDRA,
213
                DINA => DINA,
214
                WEA => WEA,
215
                    CHECK_DATA => CHECKER_EN
216
             );
217
 
218
      PROCESS(CLKA)
219
      BEGIN
220
        IF(RISING_EDGE(CLKA)) THEN
221
                  IF(RESET_SYNC_R3='1') THEN
222
                        STATUS(8) <= '0';
223
                        iter_r2 <= '0';
224
                        iter_r1 <= '0';
225
                        iter_r0 <= '0';
226
                  ELSE
227
                        STATUS(8) <= iter_r2;
228
                        iter_r2 <= iter_r1;
229
                        iter_r1 <= iter_r0;
230
                        iter_r0 <= STIMULUS_FLOW(8);
231
              END IF;
232
            END IF;
233
      END PROCESS;
234
 
235
 
236
      PROCESS(CLKA)
237
      BEGIN
238
        IF(RISING_EDGE(CLKA)) THEN
239
                  IF(RESET_SYNC_R3='1') THEN
240
                      STIMULUS_FLOW <= (OTHERS => '0');
241
           ELSIF(WEA(0)='1') THEN
242
                      STIMULUS_FLOW <= STIMULUS_FLOW+1;
243
         END IF;
244
            END IF;
245
      END PROCESS;
246
 
247
 
248
 
249
 
250
      PROCESS(CLKA)
251
      BEGIN
252
        IF(RISING_EDGE(CLKA)) THEN
253
                  IF(RESET_SYNC_R3='1') THEN
254
            WEA_R  <= (OTHERS=>'0') AFTER 50 ns;
255
            DINA_R <= (OTHERS=>'0') AFTER 50 ns;
256
 
257
 
258
           ELSE
259
            WEA_R  <= WEA AFTER 50 ns;
260
            DINA_R <= DINA AFTER 50 ns;
261
 
262
         END IF;
263
            END IF;
264
      END PROCESS;
265
 
266
 
267
      PROCESS(CLKA)
268
      BEGIN
269
        IF(RISING_EDGE(CLKA)) THEN
270
                  IF(RESET_SYNC_R3='1') THEN
271
            ADDRA_R <= (OTHERS=> '0') AFTER 50 ns;
272
          ELSE
273
            ADDRA_R <= ADDRA AFTER 50 ns;
274
          END IF;
275
            END IF;
276
      END PROCESS;
277
 
278
 
279
    BMG_PORT: blockMemory_exdes PORT MAP (
280
      --Port A
281
      RSTA       => RSTA,
282
      WEA        => WEA_R,
283
      ADDRA      => ADDRA_R,
284
      DINA       => DINA_R,
285
      DOUTA      => DOUTA,
286
      CLKA       => CLKA
287
 
288
    );
289
END ARCHITECTURE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.