OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [tags/] [start_version/] [rtl/] [vhdl/] [interface/] [plb/] [mont_mult1536.vhd] - Blame information for rev 48

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 JonasDC
------------------------------------------------------------------------------
2
-- mont_mult1536.vhd - entity/architecture pair
3
------------------------------------------------------------------------------
4
-- IMPORTANT:
5
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
6
--
7
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
8
--
9
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
10
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
11
-- OF THE USER_LOGIC ENTITY.
12
------------------------------------------------------------------------------
13
--
14
-- ***************************************************************************
15
-- ** Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.            **
16
-- **                                                                       **
17
-- ** Xilinx, Inc.                                                          **
18
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS"         **
19
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND       **
20
-- ** SOLUTIONS FOR XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE,        **
21
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE,        **
22
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION           **
23
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT,     **
24
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE      **
25
-- ** FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY              **
26
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE               **
27
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR        **
28
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF       **
29
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS       **
30
-- ** FOR A PARTICULAR PURPOSE.                                             **
31
-- **                                                                       **
32
-- ***************************************************************************
33
--
34
------------------------------------------------------------------------------
35
-- Filename:          mont_mult1536.vhd
36
-- Version:           2.00.a
37
-- Description:       Top level design, instantiates library components and user logic.
38
-- Date:              Thu May 03 09:53:36 2012 (by Create and Import Peripheral Wizard)
39
-- VHDL Standard:     VHDL'93
40
------------------------------------------------------------------------------
41
-- Naming Conventions:
42
--   active low signals:                    "*_n"
43
--   clock signals:                         "clk", "clk_div#", "clk_#x"
44
--   reset signals:                         "rst", "rst_n"
45
--   generics:                              "C_*"
46
--   user defined types:                    "*_TYPE"
47
--   state machine next state:              "*_ns"
48
--   state machine current state:           "*_cs"
49
--   combinatorial signals:                 "*_com"
50
--   pipelined or register delay signals:   "*_d#"
51
--   counter signals:                       "*cnt*"
52
--   clock enable signals:                  "*_ce"
53
--   internal version of output port:       "*_i"
54
--   device pins:                           "*_pin"
55
--   ports:                                 "- Names begin with Uppercase"
56
--   processes:                             "*_PROCESS"
57
--   component instantiations:              "<ENTITY_>I_<#|FUNC>"
58
------------------------------------------------------------------------------
59
 
60
library ieee;
61
use ieee.std_logic_1164.all;
62
use ieee.std_logic_arith.all;
63
use ieee.std_logic_unsigned.all;
64
 
65
library proc_common_v3_00_a;
66
use proc_common_v3_00_a.proc_common_pkg.all;
67
use proc_common_v3_00_a.ipif_pkg.all;
68
use proc_common_v3_00_a.soft_reset;
69
 
70
library interrupt_control_v2_01_a;
71
use interrupt_control_v2_01_a.interrupt_control;
72
 
73
library plbv46_slave_single_v1_01_a;
74
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
75
 
76
library mont_mult1536_v2_00_a;
77
use mont_mult1536_v2_00_a.user_logic;
78
 
79
------------------------------------------------------------------------------
80
-- Entity section
81
------------------------------------------------------------------------------
82
-- Definition of Generics:
83
--   C_BASEADDR                   -- PLBv46 slave: base address
84
--   C_HIGHADDR                   -- PLBv46 slave: high address
85
--   C_SPLB_AWIDTH                -- PLBv46 slave: address bus width
86
--   C_SPLB_DWIDTH                -- PLBv46 slave: data bus width
87
--   C_SPLB_NUM_MASTERS           -- PLBv46 slave: Number of masters
88
--   C_SPLB_MID_WIDTH             -- PLBv46 slave: master ID bus width
89
--   C_SPLB_NATIVE_DWIDTH         -- PLBv46 slave: internal native data bus width
90
--   C_SPLB_P2P                   -- PLBv46 slave: point to point interconnect scheme
91
--   C_SPLB_SUPPORT_BURSTS        -- PLBv46 slave: support bursts
92
--   C_SPLB_SMALLEST_MASTER       -- PLBv46 slave: width of the smallest master
93
--   C_SPLB_CLK_PERIOD_PS         -- PLBv46 slave: bus clock in picoseconds
94
--   C_INCLUDE_DPHASE_TIMER       -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer
95
--   C_FAMILY                     -- Xilinx FPGA family
96
--   C_MEM0_BASEADDR              -- User memory space 0 base address
97
--   C_MEM0_HIGHADDR              -- User memory space 0 high address
98
--   C_MEM1_BASEADDR              -- User memory space 1 base address
99
--   C_MEM1_HIGHADDR              -- User memory space 1 high address
100
--   C_MEM2_BASEADDR              -- User memory space 2 base address
101
--   C_MEM2_HIGHADDR              -- User memory space 2 high address
102
--   C_MEM3_BASEADDR              -- User memory space 3 base address
103
--   C_MEM3_HIGHADDR              -- User memory space 3 high address
104
--   C_MEM4_BASEADDR              -- User memory space 4 base address
105
--   C_MEM4_HIGHADDR              -- User memory space 4 high address
106
--   C_MEM5_BASEADDR              -- User memory space 5 base address
107
--   C_MEM5_HIGHADDR              -- User memory space 5 high address
108
--
109
-- Definition of Ports:
110
--   SPLB_Clk                     -- PLB main bus clock
111
--   SPLB_Rst                     -- PLB main bus reset
112
--   PLB_ABus                     -- PLB address bus
113
--   PLB_UABus                    -- PLB upper address bus
114
--   PLB_PAValid                  -- PLB primary address valid indicator
115
--   PLB_SAValid                  -- PLB secondary address valid indicator
116
--   PLB_rdPrim                   -- PLB secondary to primary read request indicator
117
--   PLB_wrPrim                   -- PLB secondary to primary write request indicator
118
--   PLB_masterID                 -- PLB current master identifier
119
--   PLB_abort                    -- PLB abort request indicator
120
--   PLB_busLock                  -- PLB bus lock
121
--   PLB_RNW                      -- PLB read/not write
122
--   PLB_BE                       -- PLB byte enables
123
--   PLB_MSize                    -- PLB master data bus size
124
--   PLB_size                     -- PLB transfer size
125
--   PLB_type                     -- PLB transfer type
126
--   PLB_lockErr                  -- PLB lock error indicator
127
--   PLB_wrDBus                   -- PLB write data bus
128
--   PLB_wrBurst                  -- PLB burst write transfer indicator
129
--   PLB_rdBurst                  -- PLB burst read transfer indicator
130
--   PLB_wrPendReq                -- PLB write pending bus request indicator
131
--   PLB_rdPendReq                -- PLB read pending bus request indicator
132
--   PLB_wrPendPri                -- PLB write pending request priority
133
--   PLB_rdPendPri                -- PLB read pending request priority
134
--   PLB_reqPri                   -- PLB current request priority
135
--   PLB_TAttribute               -- PLB transfer attribute
136
--   Sl_addrAck                   -- Slave address acknowledge
137
--   Sl_SSize                     -- Slave data bus size
138
--   Sl_wait                      -- Slave wait indicator
139
--   Sl_rearbitrate               -- Slave re-arbitrate bus indicator
140
--   Sl_wrDAck                    -- Slave write data acknowledge
141
--   Sl_wrComp                    -- Slave write transfer complete indicator
142
--   Sl_wrBTerm                   -- Slave terminate write burst transfer
143
--   Sl_rdDBus                    -- Slave read data bus
144
--   Sl_rdWdAddr                  -- Slave read word address
145
--   Sl_rdDAck                    -- Slave read data acknowledge
146
--   Sl_rdComp                    -- Slave read transfer complete indicator
147
--   Sl_rdBTerm                   -- Slave terminate read burst transfer
148
--   Sl_MBusy                     -- Slave busy indicator
149
--   Sl_MWrErr                    -- Slave write error indicator
150
--   Sl_MRdErr                    -- Slave read error indicator
151
--   Sl_MIRQ                      -- Slave interrupt indicator
152
--   IP2INTC_Irpt                 -- Interrupt output to processor
153
------------------------------------------------------------------------------
154
 
155
entity mont_mult1536 is
156
  generic
157
  (
158
    -- ADD USER GENERICS BELOW THIS LINE ---------------
159
    --USER generics added here
160
    -- ADD USER GENERICS ABOVE THIS LINE ---------------
161
 
162
    -- DO NOT EDIT BELOW THIS LINE ---------------------
163
    -- Bus protocol parameters, do not add to or delete
164
    C_BASEADDR                     : std_logic_vector     := X"FFFFFFFF";
165
    C_HIGHADDR                     : std_logic_vector     := X"00000000";
166
    C_SPLB_AWIDTH                  : integer              := 32;
167
    C_SPLB_DWIDTH                  : integer              := 128;
168
    C_SPLB_NUM_MASTERS             : integer              := 8;
169
    C_SPLB_MID_WIDTH               : integer              := 3;
170
    C_SPLB_NATIVE_DWIDTH           : integer              := 32;
171
    C_SPLB_P2P                     : integer              := 0;
172
    C_SPLB_SUPPORT_BURSTS          : integer              := 0;
173
    C_SPLB_SMALLEST_MASTER         : integer              := 32;
174
    C_SPLB_CLK_PERIOD_PS           : integer              := 10000;
175
    C_INCLUDE_DPHASE_TIMER         : integer              := 0;
176
    C_FAMILY                       : string               := "virtex5";
177
    C_MEM0_BASEADDR                : std_logic_vector     := X"FFFFFFFF";
178
    C_MEM0_HIGHADDR                : std_logic_vector     := X"00000000";
179
    C_MEM1_BASEADDR                : std_logic_vector     := X"FFFFFFFF";
180
    C_MEM1_HIGHADDR                : std_logic_vector     := X"00000000";
181
    C_MEM2_BASEADDR                : std_logic_vector     := X"FFFFFFFF";
182
    C_MEM2_HIGHADDR                : std_logic_vector     := X"00000000";
183
    C_MEM3_BASEADDR                : std_logic_vector     := X"FFFFFFFF";
184
    C_MEM3_HIGHADDR                : std_logic_vector     := X"00000000";
185
    C_MEM4_BASEADDR                : std_logic_vector     := X"FFFFFFFF";
186
    C_MEM4_HIGHADDR                : std_logic_vector     := X"00000000";
187
    C_MEM5_BASEADDR                : std_logic_vector     := X"FFFFFFFF";
188
    C_MEM5_HIGHADDR                : std_logic_vector     := X"00000000"
189
    -- DO NOT EDIT ABOVE THIS LINE ---------------------
190
  );
191
  port
192
  (
193
    -- ADD USER PORTS BELOW THIS LINE ------------------
194
    --USER ports added here
195
         calc_time                      : out std_logic;
196
    -- ADD USER PORTS ABOVE THIS LINE ------------------
197
 
198
    -- DO NOT EDIT BELOW THIS LINE ---------------------
199
    -- Bus protocol ports, do not add to or delete
200
    SPLB_Clk                       : in  std_logic;
201
    SPLB_Rst                       : in  std_logic;
202
    PLB_ABus                       : in  std_logic_vector(0 to 31);
203
    PLB_UABus                      : in  std_logic_vector(0 to 31);
204
    PLB_PAValid                    : in  std_logic;
205
    PLB_SAValid                    : in  std_logic;
206
    PLB_rdPrim                     : in  std_logic;
207
    PLB_wrPrim                     : in  std_logic;
208
    PLB_masterID                   : in  std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
209
    PLB_abort                      : in  std_logic;
210
    PLB_busLock                    : in  std_logic;
211
    PLB_RNW                        : in  std_logic;
212
    PLB_BE                         : in  std_logic_vector(0 to C_SPLB_DWIDTH/8-1);
213
    PLB_MSize                      : in  std_logic_vector(0 to 1);
214
    PLB_size                       : in  std_logic_vector(0 to 3);
215
    PLB_type                       : in  std_logic_vector(0 to 2);
216
    PLB_lockErr                    : in  std_logic;
217
    PLB_wrDBus                     : in  std_logic_vector(0 to C_SPLB_DWIDTH-1);
218
    PLB_wrBurst                    : in  std_logic;
219
    PLB_rdBurst                    : in  std_logic;
220
    PLB_wrPendReq                  : in  std_logic;
221
    PLB_rdPendReq                  : in  std_logic;
222
    PLB_wrPendPri                  : in  std_logic_vector(0 to 1);
223
    PLB_rdPendPri                  : in  std_logic_vector(0 to 1);
224
    PLB_reqPri                     : in  std_logic_vector(0 to 1);
225
    PLB_TAttribute                 : in  std_logic_vector(0 to 15);
226
    Sl_addrAck                     : out std_logic;
227
    Sl_SSize                       : out std_logic_vector(0 to 1);
228
    Sl_wait                        : out std_logic;
229
    Sl_rearbitrate                 : out std_logic;
230
    Sl_wrDAck                      : out std_logic;
231
    Sl_wrComp                      : out std_logic;
232
    Sl_wrBTerm                     : out std_logic;
233
    Sl_rdDBus                      : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
234
    Sl_rdWdAddr                    : out std_logic_vector(0 to 3);
235
    Sl_rdDAck                      : out std_logic;
236
    Sl_rdComp                      : out std_logic;
237
    Sl_rdBTerm                     : out std_logic;
238
    Sl_MBusy                       : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
239
    Sl_MWrErr                      : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
240
    Sl_MRdErr                      : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
241
    Sl_MIRQ                        : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
242
    IP2INTC_Irpt                   : out std_logic
243
    -- DO NOT EDIT ABOVE THIS LINE ---------------------
244
  );
245
 
246
  attribute SIGIS : string;
247
  attribute SIGIS of SPLB_Clk      : signal is "CLK";
248
  attribute SIGIS of SPLB_Rst      : signal is "RST";
249
  attribute SIGIS of IP2INTC_Irpt  : signal is "INTR_LEVEL_HIGH";
250
 
251
end entity mont_mult1536;
252
 
253
------------------------------------------------------------------------------
254
-- Architecture section
255
------------------------------------------------------------------------------
256
 
257
architecture IMP of mont_mult1536 is
258
 
259
  ------------------------------------------
260
  -- Array of base/high address pairs for each address range
261
  ------------------------------------------
262
  constant ZERO_ADDR_PAD                  : std_logic_vector(0 to 31) := (others => '0');
263
  constant USER_SLV_BASEADDR              : std_logic_vector     := C_BASEADDR or X"00000000";
264
  constant USER_SLV_HIGHADDR              : std_logic_vector     := C_BASEADDR or X"000000FF";
265
  constant RST_BASEADDR                   : std_logic_vector     := C_BASEADDR or X"00000100";
266
  constant RST_HIGHADDR                   : std_logic_vector     := C_BASEADDR or X"000001FF";
267
  constant INTR_BASEADDR                  : std_logic_vector     := C_BASEADDR or X"00000200";
268
  constant INTR_HIGHADDR                  : std_logic_vector     := C_BASEADDR or X"000002FF";
269
 
270
  constant IPIF_ARD_ADDR_RANGE_ARRAY      : SLV64_ARRAY_TYPE     :=
271
    (
272
      ZERO_ADDR_PAD & USER_SLV_BASEADDR,  -- user logic slave space base address
273
      ZERO_ADDR_PAD & USER_SLV_HIGHADDR,  -- user logic slave space high address
274
      ZERO_ADDR_PAD & RST_BASEADDR,       -- soft reset space base address
275
      ZERO_ADDR_PAD & RST_HIGHADDR,       -- soft reset space high address
276
      ZERO_ADDR_PAD & INTR_BASEADDR,      -- interrupt control space base address
277
      ZERO_ADDR_PAD & INTR_HIGHADDR,      -- interrupt control space high address
278
      ZERO_ADDR_PAD & C_MEM0_BASEADDR,    -- user logic memory space 0 base address
279
      ZERO_ADDR_PAD & C_MEM0_HIGHADDR,    -- user logic memory space 0 high address
280
      ZERO_ADDR_PAD & C_MEM1_BASEADDR,    -- user logic memory space 1 base address
281
      ZERO_ADDR_PAD & C_MEM1_HIGHADDR,    -- user logic memory space 1 high address
282
      ZERO_ADDR_PAD & C_MEM2_BASEADDR,    -- user logic memory space 2 base address
283
      ZERO_ADDR_PAD & C_MEM2_HIGHADDR,    -- user logic memory space 2 high address
284
      ZERO_ADDR_PAD & C_MEM3_BASEADDR,    -- user logic memory space 3 base address
285
      ZERO_ADDR_PAD & C_MEM3_HIGHADDR,    -- user logic memory space 3 high address
286
      ZERO_ADDR_PAD & C_MEM4_BASEADDR,    -- user logic memory space 4 base address
287
      ZERO_ADDR_PAD & C_MEM4_HIGHADDR,    -- user logic memory space 4 high address
288
      ZERO_ADDR_PAD & C_MEM5_BASEADDR,    -- user logic memory space 5 base address
289
      ZERO_ADDR_PAD & C_MEM5_HIGHADDR     -- user logic memory space 5 high address
290
    );
291
 
292
  ------------------------------------------
293
  -- Array of desired number of chip enables for each address range
294
  ------------------------------------------
295
  constant USER_SLV_NUM_REG               : integer              := 1;
296
  constant USER_NUM_REG                   : integer              := USER_SLV_NUM_REG;
297
  constant RST_NUM_CE                     : integer              := 1;
298
  constant INTR_NUM_CE                    : integer              := 16;
299
  constant USER_NUM_MEM                   : integer              := 6;
300
 
301
  constant IPIF_ARD_NUM_CE_ARRAY          : INTEGER_ARRAY_TYPE   :=
302
    (
303
 
304
      1  => RST_NUM_CE,                   -- number of ce for soft reset space
305
      2  => INTR_NUM_CE,                  -- number of ce for interrupt control space
306
      3  => 1,                            -- number of ce for user logic memory space 0 (always 1 chip enable)
307
      4  => 1,                            -- number of ce for user logic memory space 1 (always 1 chip enable)
308
      5  => 1,                            -- number of ce for user logic memory space 2 (always 1 chip enable)
309
      6  => 1,                            -- number of ce for user logic memory space 3 (always 1 chip enable)
310
      7  => 1,                            -- number of ce for user logic memory space 4 (always 1 chip enable)
311
      8  => 1                             -- number of ce for user logic memory space 5 (always 1 chip enable)
312
    );
313
 
314
  ------------------------------------------
315
  -- Ratio of bus clock to core clock (for use in dual clock systems)
316
  -- 1 = ratio is 1:1
317
  -- 2 = ratio is 2:1
318
  ------------------------------------------
319
  constant IPIF_BUS2CORE_CLK_RATIO        : integer              := 1;
320
 
321
  ------------------------------------------
322
  -- Width of the slave data bus (32 only)
323
  ------------------------------------------
324
  constant USER_SLV_DWIDTH                : integer              := C_SPLB_NATIVE_DWIDTH;
325
 
326
  constant IPIF_SLV_DWIDTH                : integer              := C_SPLB_NATIVE_DWIDTH;
327
 
328
  ------------------------------------------
329
  -- Width of triggered reset in bus clocks
330
  ------------------------------------------
331
  constant RESET_WIDTH                    : integer              := 4;
332
 
333
  ------------------------------------------
334
  -- Number of device level interrupts
335
  ------------------------------------------
336
  constant INTR_NUM_IPIF_IRPT_SRC         : integer              := 4;
337
 
338
  ------------------------------------------
339
  -- Capture mode for each IP interrupt (generated by user logic)
340
  -- 1 = pass through (non-inverting)
341
  -- 2 = pass through (inverting)
342
  -- 3 = registered level (non-inverting)
343
  -- 4 = registered level (inverting)
344
  -- 5 = positive edge detect
345
  -- 6 = negative edge detect
346
  ------------------------------------------
347
  constant USER_NUM_INTR                  : integer              := 1;
348
  constant USER_INTR_CAPTURE_MODE         : integer              := 1;
349
 
350
  constant INTR_IP_INTR_MODE_ARRAY        : INTEGER_ARRAY_TYPE   :=
351
    (
352
 
353
    );
354
 
355
  ------------------------------------------
356
  -- Device priority encoder feature inclusion/omission
357
  -- true  = include priority encoder
358
  -- false = omit priority encoder
359
  ------------------------------------------
360
  constant INTR_INCLUDE_DEV_PENCODER      : boolean              := false;
361
 
362
  ------------------------------------------
363
  -- Device ISC feature inclusion/omission
364
  -- true  = include device ISC
365
  -- false = omit device ISC
366
  ------------------------------------------
367
  constant INTR_INCLUDE_DEV_ISC           : boolean              := false;
368
 
369
  ------------------------------------------
370
  -- Width of the slave address bus (32 only)
371
  ------------------------------------------
372
  constant USER_SLV_AWIDTH                : integer              := C_SPLB_AWIDTH;
373
 
374
  ------------------------------------------
375
  -- Index for CS/CE
376
  ------------------------------------------
377
  constant USER_SLV_CS_INDEX              : integer              := 0;
378
  constant USER_SLV_CE_INDEX              : integer              := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
379
  constant RST_CS_INDEX                   : integer              := 1;
380
  constant RST_CE_INDEX                   : integer              := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, RST_CS_INDEX);
381
  constant INTR_CS_INDEX                  : integer              := 2;
382
  constant INTR_CE_INDEX                  : integer              := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, INTR_CS_INDEX);
383
  constant USER_MEM0_CS_INDEX             : integer              := 3;
384
  constant USER_CS_INDEX                  : integer              := USER_MEM0_CS_INDEX;
385
 
386
  constant USER_CE_INDEX                  : integer              := USER_SLV_CE_INDEX;
387
 
388
  ------------------------------------------
389
  -- IP Interconnect (IPIC) signal declarations
390
  ------------------------------------------
391
  signal ipif_Bus2IP_Clk                : std_logic;
392
  signal ipif_Bus2IP_Reset              : std_logic;
393
  signal ipif_IP2Bus_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
394
  signal ipif_IP2Bus_WrAck              : std_logic;
395
  signal ipif_IP2Bus_RdAck              : std_logic;
396
  signal ipif_IP2Bus_Error              : std_logic;
397
  signal ipif_Bus2IP_Addr               : std_logic_vector(0 to C_SPLB_AWIDTH-1);
398
  signal ipif_Bus2IP_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
399
  signal ipif_Bus2IP_RNW                : std_logic;
400
  signal ipif_Bus2IP_BE                 : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
401
  signal ipif_Bus2IP_CS                 : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
402
  signal ipif_Bus2IP_RdCE               : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
403
  signal ipif_Bus2IP_WrCE               : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
404
  signal rst_Bus2IP_Reset               : std_logic;
405
  signal rst_IP2Bus_WrAck               : std_logic;
406
  signal rst_IP2Bus_Error               : std_logic;
407
  signal intr_IPIF_Reg_Interrupts       : std_logic_vector(0 to 1);
408
  signal intr_IPIF_Lvl_Interrupts       : std_logic_vector(0 to INTR_NUM_IPIF_IRPT_SRC-1);
409
  signal intr_IP2Bus_Data               : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
410
  signal intr_IP2Bus_WrAck              : std_logic;
411
  signal intr_IP2Bus_RdAck              : std_logic;
412
  signal intr_IP2Bus_Error              : std_logic;
413
  signal user_Bus2IP_RdCE               : std_logic_vector(0 to USER_NUM_REG-1);
414
  signal user_Bus2IP_WrCE               : std_logic_vector(0 to USER_NUM_REG-1);
415
  signal user_IP2Bus_Data               : std_logic_vector(0 to USER_SLV_DWIDTH-1);
416
  signal user_IP2Bus_RdAck              : std_logic;
417
  signal user_IP2Bus_WrAck              : std_logic;
418
  signal user_IP2Bus_Error              : std_logic;
419
  signal user_IP2Bus_IntrEvent          : std_logic_vector(0 to USER_NUM_INTR-1);
420
 
421
begin
422
 
423
  ------------------------------------------
424
  -- instantiate plbv46_slave_single
425
  ------------------------------------------
426
  PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single
427
    generic map
428
    (
429
      C_ARD_ADDR_RANGE_ARRAY         => IPIF_ARD_ADDR_RANGE_ARRAY,
430
      C_ARD_NUM_CE_ARRAY             => IPIF_ARD_NUM_CE_ARRAY,
431
      C_SPLB_P2P                     => C_SPLB_P2P,
432
      C_BUS2CORE_CLK_RATIO           => IPIF_BUS2CORE_CLK_RATIO,
433
      C_SPLB_MID_WIDTH               => C_SPLB_MID_WIDTH,
434
      C_SPLB_NUM_MASTERS             => C_SPLB_NUM_MASTERS,
435
      C_SPLB_AWIDTH                  => C_SPLB_AWIDTH,
436
      C_SPLB_DWIDTH                  => C_SPLB_DWIDTH,
437
      C_SIPIF_DWIDTH                 => IPIF_SLV_DWIDTH,
438
      C_INCLUDE_DPHASE_TIMER         => C_INCLUDE_DPHASE_TIMER,
439
      C_FAMILY                       => C_FAMILY
440
    )
441
    port map
442
    (
443
      SPLB_Clk                       => SPLB_Clk,
444
      SPLB_Rst                       => SPLB_Rst,
445
      PLB_ABus                       => PLB_ABus,
446
      PLB_UABus                      => PLB_UABus,
447
      PLB_PAValid                    => PLB_PAValid,
448
      PLB_SAValid                    => PLB_SAValid,
449
      PLB_rdPrim                     => PLB_rdPrim,
450
      PLB_wrPrim                     => PLB_wrPrim,
451
      PLB_masterID                   => PLB_masterID,
452
      PLB_abort                      => PLB_abort,
453
      PLB_busLock                    => PLB_busLock,
454
      PLB_RNW                        => PLB_RNW,
455
      PLB_BE                         => PLB_BE,
456
      PLB_MSize                      => PLB_MSize,
457
      PLB_size                       => PLB_size,
458
      PLB_type                       => PLB_type,
459
      PLB_lockErr                    => PLB_lockErr,
460
      PLB_wrDBus                     => PLB_wrDBus,
461
      PLB_wrBurst                    => PLB_wrBurst,
462
      PLB_rdBurst                    => PLB_rdBurst,
463
      PLB_wrPendReq                  => PLB_wrPendReq,
464
      PLB_rdPendReq                  => PLB_rdPendReq,
465
      PLB_wrPendPri                  => PLB_wrPendPri,
466
      PLB_rdPendPri                  => PLB_rdPendPri,
467
      PLB_reqPri                     => PLB_reqPri,
468
      PLB_TAttribute                 => PLB_TAttribute,
469
      Sl_addrAck                     => Sl_addrAck,
470
      Sl_SSize                       => Sl_SSize,
471
      Sl_wait                        => Sl_wait,
472
      Sl_rearbitrate                 => Sl_rearbitrate,
473
      Sl_wrDAck                      => Sl_wrDAck,
474
      Sl_wrComp                      => Sl_wrComp,
475
      Sl_wrBTerm                     => Sl_wrBTerm,
476
      Sl_rdDBus                      => Sl_rdDBus,
477
      Sl_rdWdAddr                    => Sl_rdWdAddr,
478
      Sl_rdDAck                      => Sl_rdDAck,
479
      Sl_rdComp                      => Sl_rdComp,
480
      Sl_rdBTerm                     => Sl_rdBTerm,
481
      Sl_MBusy                       => Sl_MBusy,
482
      Sl_MWrErr                      => Sl_MWrErr,
483
      Sl_MRdErr                      => Sl_MRdErr,
484
      Sl_MIRQ                        => Sl_MIRQ,
485
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
486
      Bus2IP_Reset                   => ipif_Bus2IP_Reset,
487
      IP2Bus_Data                    => ipif_IP2Bus_Data,
488
      IP2Bus_WrAck                   => ipif_IP2Bus_WrAck,
489
      IP2Bus_RdAck                   => ipif_IP2Bus_RdAck,
490
      IP2Bus_Error                   => ipif_IP2Bus_Error,
491
      Bus2IP_Addr                    => ipif_Bus2IP_Addr,
492
      Bus2IP_Data                    => ipif_Bus2IP_Data,
493
      Bus2IP_RNW                     => ipif_Bus2IP_RNW,
494
      Bus2IP_BE                      => ipif_Bus2IP_BE,
495
      Bus2IP_CS                      => ipif_Bus2IP_CS,
496
      Bus2IP_RdCE                    => ipif_Bus2IP_RdCE,
497
      Bus2IP_WrCE                    => ipif_Bus2IP_WrCE
498
    );
499
 
500
  ------------------------------------------
501
  -- instantiate soft_reset
502
  ------------------------------------------
503
  SOFT_RESET_I : entity proc_common_v3_00_a.soft_reset
504
    generic map
505
    (
506
      C_SIPIF_DWIDTH                 => IPIF_SLV_DWIDTH,
507
      C_RESET_WIDTH                  => RESET_WIDTH
508
    )
509
    port map
510
    (
511
      Bus2IP_Reset                   => ipif_Bus2IP_Reset,
512
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
513
      Bus2IP_WrCE                    => ipif_Bus2IP_WrCE(RST_CE_INDEX),
514
      Bus2IP_Data                    => ipif_Bus2IP_Data,
515
      Bus2IP_BE                      => ipif_Bus2IP_BE,
516
      Reset2IP_Reset                 => rst_Bus2IP_Reset,
517
      Reset2Bus_WrAck                => rst_IP2Bus_WrAck,
518
      Reset2Bus_Error                => rst_IP2Bus_Error,
519
      Reset2Bus_ToutSup              => open
520
    );
521
 
522
  ------------------------------------------
523
  -- instantiate interrupt_control
524
  ------------------------------------------
525
  INTERRUPT_CONTROL_I : entity interrupt_control_v2_01_a.interrupt_control
526
    generic map
527
    (
528
      C_NUM_CE                       => INTR_NUM_CE,
529
      C_NUM_IPIF_IRPT_SRC            => INTR_NUM_IPIF_IRPT_SRC,
530
      C_IP_INTR_MODE_ARRAY           => INTR_IP_INTR_MODE_ARRAY,
531
      C_INCLUDE_DEV_PENCODER         => INTR_INCLUDE_DEV_PENCODER,
532
      C_INCLUDE_DEV_ISC              => INTR_INCLUDE_DEV_ISC,
533
      C_IPIF_DWIDTH                  => IPIF_SLV_DWIDTH
534
    )
535
    port map
536
    (
537
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
538
      Bus2IP_Reset                   => rst_Bus2IP_Reset,
539
      Bus2IP_Data                    => ipif_Bus2IP_Data,
540
      Bus2IP_BE                      => ipif_Bus2IP_BE,
541
      Interrupt_RdCE                 => ipif_Bus2IP_RdCE(INTR_CE_INDEX to INTR_CE_INDEX+INTR_NUM_CE-1),
542
      Interrupt_WrCE                 => ipif_Bus2IP_WrCE(INTR_CE_INDEX to INTR_CE_INDEX+INTR_NUM_CE-1),
543
      IPIF_Reg_Interrupts            => intr_IPIF_Reg_Interrupts,
544
      IPIF_Lvl_Interrupts            => intr_IPIF_Lvl_Interrupts,
545
      IP2Bus_IntrEvent               => user_IP2Bus_IntrEvent,
546
      Intr2Bus_DevIntr               => IP2INTC_Irpt,
547
      Intr2Bus_DBus                  => intr_IP2Bus_Data,
548
      Intr2Bus_WrAck                 => intr_IP2Bus_WrAck,
549
      Intr2Bus_RdAck                 => intr_IP2Bus_RdAck,
550
      Intr2Bus_Error                 => intr_IP2Bus_Error,
551
      Intr2Bus_Retry                 => open,
552
      Intr2Bus_ToutSup               => open
553
    );
554
 
555
  -- feed registered and level-pass-through interrupts into Device ISC if exists, otherwise ignored
556
  intr_IPIF_Reg_Interrupts(0) <= '0';
557
  intr_IPIF_Reg_Interrupts(1) <= '0';
558
  intr_IPIF_Lvl_Interrupts(0) <= '0';
559
  intr_IPIF_Lvl_Interrupts(1) <= '0';
560
  intr_IPIF_Lvl_Interrupts(2) <= '0';
561
  intr_IPIF_Lvl_Interrupts(3) <= '0';
562
 
563
  ------------------------------------------
564
  -- instantiate User Logic
565
  ------------------------------------------
566
  USER_LOGIC_I : entity mont_mult1536_v2_00_a.user_logic
567
    generic map
568
    (
569
      -- MAP USER GENERICS BELOW THIS LINE ---------------
570
      --USER generics mapped here
571
      -- MAP USER GENERICS ABOVE THIS LINE ---------------
572
 
573
      C_SLV_AWIDTH                   => USER_SLV_AWIDTH,
574
      C_SLV_DWIDTH                   => USER_SLV_DWIDTH,
575
      C_NUM_REG                      => USER_NUM_REG,
576
      C_NUM_MEM                      => USER_NUM_MEM,
577
      C_NUM_INTR                     => USER_NUM_INTR
578
    )
579
    port map
580
    (
581
      -- MAP USER PORTS BELOW THIS LINE ------------------
582
      --USER ports mapped here
583
                calc_time                      => calc_time,
584
      -- MAP USER PORTS ABOVE THIS LINE ------------------
585
 
586
      Bus2IP_Clk                     => ipif_Bus2IP_Clk,
587
      Bus2IP_Reset                   => rst_Bus2IP_Reset,
588
      Bus2IP_Addr                    => ipif_Bus2IP_Addr,
589
      Bus2IP_CS                      => ipif_Bus2IP_CS(USER_CS_INDEX to USER_CS_INDEX+USER_NUM_MEM-1),
590
      Bus2IP_RNW                     => ipif_Bus2IP_RNW,
591
      Bus2IP_Data                    => ipif_Bus2IP_Data,
592
      Bus2IP_BE                      => ipif_Bus2IP_BE,
593
      Bus2IP_RdCE                    => user_Bus2IP_RdCE,
594
      Bus2IP_WrCE                    => user_Bus2IP_WrCE,
595
      IP2Bus_Data                    => user_IP2Bus_Data,
596
      IP2Bus_RdAck                   => user_IP2Bus_RdAck,
597
      IP2Bus_WrAck                   => user_IP2Bus_WrAck,
598
      IP2Bus_Error                   => user_IP2Bus_Error,
599
      IP2Bus_IntrEvent               => user_IP2Bus_IntrEvent
600
    );
601
 
602
  ------------------------------------------
603
  -- connect internal signals
604
  ------------------------------------------
605
  IP2BUS_DATA_MUX_PROC : process( ipif_Bus2IP_CS, user_IP2Bus_Data, intr_IP2Bus_Data ) is
606
  begin
607
 
608
    case ipif_Bus2IP_CS is
609
      when "100000000" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
610
      when "010000000" => ipif_IP2Bus_Data <= (others => '0');
611
      when "001000000" => ipif_IP2Bus_Data <= intr_IP2Bus_Data;
612
      when "000100000" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
613
      when "000010000" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
614
      when "000001000" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
615
      when "000000100" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
616
      when "000000010" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
617
      when "000000001" => ipif_IP2Bus_Data <= user_IP2Bus_Data;
618
      when others => ipif_IP2Bus_Data <= (others => '0');
619
    end case;
620
 
621
  end process IP2BUS_DATA_MUX_PROC;
622
 
623
  ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck or rst_IP2Bus_WrAck or intr_IP2Bus_WrAck;
624
  ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck or intr_IP2Bus_RdAck;
625
  ipif_IP2Bus_Error <= user_IP2Bus_Error or rst_IP2Bus_Error or intr_IP2Bus_Error;
626
 
627
  user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
628
  user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
629
 
630
end IMP;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.