OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [cell_1b_mux.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 JonasDC
------------------------------------------------------------------------------------ 
2
--                      
3
-- Geoffrey Ottoy - DraMCo research group
4
--
5
-- Module Name: cell_1b_mux.vhd / entity cell_1b_mux
6
-- 
7
-- Last Modified:       14/11/2011 
8
-- 
9
-- Description:         mux for use in the montgommery multiplier systolic array
10
--                                              currently a behavioral description
11
--
12
--
13
-- Dependencies:        none
14
--
15
-- Revision:
16
--      Revision 1.00 - Architecture
17
--      Revision 0.01 - File Created
18
--
19
--
20
------------------------------------------------------------------------------------
21
--
22
-- NOTICE:
23
--
24
-- Copyright DraMCo research group. 2011. This code may be contain portions patented
25
-- by other third parties!
26
--
27
------------------------------------------------------------------------------------
28
library IEEE;
29
use IEEE.STD_LOGIC_1164.ALL;
30
use IEEE.STD_LOGIC_ARITH.ALL;
31
use IEEE.STD_LOGIC_UNSIGNED.ALL;
32
 
33
---- Uncomment the following library declaration if instantiating
34
---- any Xilinx primitives in this code.
35
--library UNISIM;
36
--use UNISIM.VComponents.all;
37
 
38
entity cell_1b_mux is
39
    Port ( my : in  STD_LOGIC;
40
           y : in  STD_LOGIC;
41
           m : in  STD_LOGIC;
42
           x : in  STD_LOGIC;
43
           q : in  STD_LOGIC;
44
           result : out  STD_LOGIC);
45
end cell_1b_mux;
46
 
47
architecture Behavioral of cell_1b_mux is
48
        signal sel : std_logic_vector(1 downto 0);
49
begin
50
 
51
        sel <= x & q;
52
 
53
        with sel select
54
                result <= my when "11",
55
                          y when "10",
56
                                         m when "01",
57
                                         '0' when others;
58
 
59
end Behavioral;
60
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.