OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [mod_sim_exp_core.vhd] - Blame information for rev 63

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 JonasDC
----------------------------------------------------------------------  
2 24 JonasDC
----  mod_sim_exp_core                                            ---- 
3 3 JonasDC
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    toplevel of a modular simultaneous exponentiation core    ----
10
----    using a pipelined montgommery multiplier with split       ----
11 24 JonasDC
----    pipeline and auto-run support                             ----
12 3 JonasDC
----                                                              ----
13
----  Dependencies:                                               ----
14
----    - mont_mult_sys_pipeline                                  ----
15
----    - operand_mem                                             ----
16
----    - fifo_primitive                                          ----
17
----    - mont_ctrl                                               ----
18
----                                                              ----
19
----  Authors:                                                    ----
20
----      - Geoffrey Ottoy, DraMCo research group                 ----
21
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
22
----                                                              ---- 
23
---------------------------------------------------------------------- 
24
----                                                              ---- 
25
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
26
----                                                              ---- 
27
---- This source file may be used and distributed without         ---- 
28
---- restriction provided that this copyright statement is not    ---- 
29
---- removed from the file and that any derivative work contains  ---- 
30
---- the original copyright notice and the associated disclaimer. ---- 
31
----                                                              ---- 
32
---- This source file is free software; you can redistribute it   ---- 
33
---- and/or modify it under the terms of the GNU Lesser General   ---- 
34
---- Public License as published by the Free Software Foundation; ---- 
35
---- either version 2.1 of the License, or (at your option) any   ---- 
36
---- later version.                                               ---- 
37
----                                                              ---- 
38
---- This source is distributed in the hope that it will be       ---- 
39
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
40
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
41
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
42
---- details.                                                     ---- 
43
----                                                              ---- 
44
---- You should have received a copy of the GNU Lesser General    ---- 
45
---- Public License along with this source; if not, download it   ---- 
46
---- from http://www.opencores.org/lgpl.shtml                     ---- 
47
----                                                              ---- 
48
----------------------------------------------------------------------
49 2 JonasDC
 
50 3 JonasDC
library ieee;
51
use ieee.std_logic_1164.all;
52
use ieee.std_logic_arith.all;
53
use ieee.std_logic_unsigned.all;
54 2 JonasDC
 
55 3 JonasDC
library mod_sim_exp;
56
use mod_sim_exp.mod_sim_exp_pkg.all;
57 63 JonasDC
use mod_sim_exp.std_functions.all;
58 3 JonasDC
 
59 24 JonasDC
-- toplevel of the modular simultaneous exponentiation core
60
-- contains an operand and modulus ram, multiplier, an exponent fifo
61
-- and control logic
62
entity mod_sim_exp_core is
63 43 JonasDC
  generic(
64
    C_NR_BITS_TOTAL   : integer := 1536;
65
    C_NR_STAGES_TOTAL : integer := 96;
66
    C_NR_STAGES_LOW   : integer := 32;
67 63 JonasDC
    C_SPLIT_PIPELINE  : boolean := true;
68
    C_NR_OP           : integer := 4;
69
    C_NR_M            : integer := 2;
70
    C_FIFO_DEPTH      : integer := 32
71 43 JonasDC
  );
72 3 JonasDC
  port(
73
    clk   : in  std_logic;
74
    reset : in  std_logic;
75
      -- operand memory interface (plb shared memory)
76 24 JonasDC
    write_enable : in  std_logic; -- write data to operand ram
77
    data_in      : in  std_logic_vector (31 downto 0);  -- operand ram data in
78 63 JonasDC
    rw_address   : in  std_logic_vector (log2(C_NR_OP)+log2(C_NR_BITS_TOTAL/32) downto 0);   -- operand ram address bus
79 24 JonasDC
    data_out     : out std_logic_vector (31 downto 0);  -- operand ram data out
80
    collision    : out std_logic; -- write collision
81 3 JonasDC
      -- op_sel fifo interface
82 24 JonasDC
    fifo_din    : in  std_logic_vector (31 downto 0); -- exponent fifo data in
83
    fifo_push   : in  std_logic;  -- push data in exponent fifo
84
    fifo_full   : out std_logic;  -- high if fifo is full
85
    fifo_nopush : out std_logic;  -- high if error during push
86
      -- control signals
87
    start          : in  std_logic; -- start multiplication/exponentiation
88 45 JonasDC
    exp_m          : in  std_logic; -- single multiplication if low, exponentiation if high
89 24 JonasDC
    ready          : out std_logic; -- calculations done
90 63 JonasDC
    x_sel_single   : in  std_logic_vector (log2(C_NR_OP)-1 downto 0); -- single multiplication x operand selection
91
    y_sel_single   : in  std_logic_vector (log2(C_NR_OP)-1 downto 0); -- single multiplication y operand selection
92
    dest_op_single : in  std_logic_vector (log2(C_NR_OP)-1 downto 0); -- result destination operand selection
93 24 JonasDC
    p_sel          : in  std_logic_vector (1 downto 0); -- pipeline part selection
94 63 JonasDC
    calc_time      : out std_logic;
95
    modulus_sel    : in std_logic_vector(log2(C_NR_M)-1 downto 0)
96 3 JonasDC
  );
97 24 JonasDC
end mod_sim_exp_core;
98 2 JonasDC
 
99 3 JonasDC
 
100 24 JonasDC
architecture Structural of mod_sim_exp_core is
101
  -- data busses
102 43 JonasDC
  signal xy   : std_logic_vector(C_NR_BITS_TOTAL-1 downto 0);  -- x and y operand data bus RAM -> multiplier
103
  signal m    : std_logic_vector(C_NR_BITS_TOTAL-1 downto 0);  -- modulus data bus RAM -> multiplier
104
  signal r    : std_logic_vector(C_NR_BITS_TOTAL-1 downto 0);  -- result data bus RAM <- multiplier
105 24 JonasDC
 
106
  -- control signals
107
  signal op_sel           : std_logic_vector(1 downto 0); -- operand selection 
108
  signal result_dest_op   : std_logic_vector(1 downto 0); -- result destination operand
109 3 JonasDC
  signal mult_ready       : std_logic;
110
  signal start_mult       : std_logic;
111 24 JonasDC
  signal load_x         : std_logic;
112 3 JonasDC
  signal load_result      : std_logic;
113 24 JonasDC
 
114
  -- fifo signals
115 3 JonasDC
  signal fifo_empty : std_logic;
116
  signal fifo_pop   : std_logic;
117
  signal fifo_nopop : std_logic;
118
  signal fifo_dout  : std_logic_vector(31 downto 0);
119 2 JonasDC
begin
120
 
121 3 JonasDC
  -- The actual multiplier
122 36 JonasDC
  the_multiplier : mont_multiplier
123 24 JonasDC
  generic map(
124 43 JonasDC
    n  => C_NR_BITS_TOTAL,
125
    t  => C_NR_STAGES_TOTAL,
126
    tl => C_NR_STAGES_LOW,
127
    split => C_SPLIT_PIPELINE
128 3 JonasDC
  )
129
  port map(
130
    core_clk => clk,
131 24 JonasDC
    xy       => xy,
132 3 JonasDC
    m        => m,
133
    r        => r,
134
    start    => start_mult,
135
    reset    => reset,
136
    p_sel    => p_sel,
137 24 JonasDC
    load_x   => load_x,
138 3 JonasDC
    ready    => mult_ready
139
  );
140
 
141
  -- Block ram memory for storing the operands and the modulus
142 63 JonasDC
  the_memory : operand_mem_gen
143 34 JonasDC
  generic map(
144 63 JonasDC
    width => C_NR_BITS_TOTAL,
145
    nr_op => C_NR_OP,
146
    nr_m  => C_NR_M
147 34 JonasDC
  )
148 24 JonasDC
  port map(
149 3 JonasDC
    data_in        => data_in,
150
    data_out       => data_out,
151
    rw_address     => rw_address,
152 39 JonasDC
    write_enable   => write_enable,
153 3 JonasDC
    op_sel         => op_sel,
154 24 JonasDC
    xy_out         => xy,
155 3 JonasDC
    m              => m,
156
    result_in      => r,
157
    load_result    => load_result,
158 24 JonasDC
    result_dest_op => result_dest_op,
159 3 JonasDC
    collision      => collision,
160 63 JonasDC
    clk            => clk,
161
    modulus_sel     => modulus_sel
162 3 JonasDC
  );
163 39 JonasDC
 
164 45 JonasDC
        result_dest_op <= dest_op_single when exp_m = '0' else "11"; -- in autorun mode we always store the result in operand3
165 2 JonasDC
 
166 3 JonasDC
  -- A fifo for auto-run operand selection
167 63 JonasDC
  the_exponent_fifo : fifo_generic
168
  generic map(
169
    depth => C_FIFO_DEPTH
170
  )
171 24 JonasDC
  port map(
172 3 JonasDC
    clk    => clk,
173
    din    => fifo_din,
174
    dout   => fifo_dout,
175
    empty  => fifo_empty,
176
    full   => fifo_full,
177
    push   => fifo_push,
178
    pop    => fifo_pop,
179
    reset  => reset,
180
    nopop  => fifo_nopop,
181
    nopush => fifo_nopush
182
  );
183 2 JonasDC
 
184 3 JonasDC
  -- The control logic for the core
185 24 JonasDC
  the_control_unit : mont_ctrl
186
  port map(
187 3 JonasDC
    clk              => clk,
188
    reset            => reset,
189
    start            => start,
190
    x_sel_single     => x_sel_single,
191
    y_sel_single     => y_sel_single,
192 45 JonasDC
    run_auto         => exp_m,
193 3 JonasDC
    op_buffer_empty  => fifo_empty,
194
    op_sel_buffer    => fifo_dout,
195
    read_buffer      => fifo_pop,
196
    done             => ready,
197
    calc_time        => calc_time,
198
    op_sel           => op_sel,
199 24 JonasDC
    load_x           => load_x,
200 3 JonasDC
    load_result      => load_result,
201
    start_multiplier => start_mult,
202
    multiplier_ready => mult_ready
203
  );
204 2 JonasDC
 
205 24 JonasDC
end Structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.