OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [mod_sim_exp_pkg.vhd] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 JonasDC
----------------------------------------------------------------------  
2
----  mod_sim_exp_pkg                                             ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    Package for the Modular Simultaneous Exponentiation Core  ----
10
----    Project. Contains the component declarations and used     ----
11
----    constants.                                                ----
12
----                                                              ---- 
13
----  Dependencies: none                                          ---- 
14
----                                                              ---- 
15
----  Authors:                                                    ----
16
----      - Geoffrey Ottoy, DraMCo research group                 ----
17
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
18
----                                                              ---- 
19
---------------------------------------------------------------------- 
20
----                                                              ---- 
21
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
22
----                                                              ---- 
23
---- This source file may be used and distributed without         ---- 
24
---- restriction provided that this copyright statement is not    ---- 
25
---- removed from the file and that any derivative work contains  ---- 
26
---- the original copyright notice and the associated disclaimer. ---- 
27
----                                                              ---- 
28
---- This source file is free software; you can redistribute it   ---- 
29
---- and/or modify it under the terms of the GNU Lesser General   ---- 
30
---- Public License as published by the Free Software Foundation; ---- 
31
---- either version 2.1 of the License, or (at your option) any   ---- 
32
---- later version.                                               ---- 
33
----                                                              ---- 
34
---- This source is distributed in the hope that it will be       ---- 
35
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
36
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
37
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
38
---- details.                                                     ---- 
39
----                                                              ---- 
40
---- You should have received a copy of the GNU Lesser General    ---- 
41
---- Public License along with this source; if not, download it   ---- 
42
---- from http://www.opencores.org/lgpl.shtml                     ---- 
43
----                                                              ---- 
44
----------------------------------------------------------------------
45 3 JonasDC
 
46
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.std_logic_unsigned.all;
49
 
50 9 JonasDC
 
51 3 JonasDC
package mod_sim_exp_pkg is
52
 
53 16 JonasDC
  --------------------------------------------------------------------
54
  -- d_flip_flop
55
  --------------------------------------------------------------------
56
  --    1-bit D flip-flop with asynchronous active high reset
57
  -- 
58 9 JonasDC
  component d_flip_flop is
59
    port(
60
      core_clk : in  std_logic; -- clock signal
61
      reset    : in  std_logic; -- active high reset
62
      din      : in  std_logic; -- data in
63
      dout     : out std_logic  -- data out
64 3 JonasDC
    );
65 9 JonasDC
  end component d_flip_flop;
66
 
67 16 JonasDC
  --------------------------------------------------------------------
68
  -- register_1b
69
  --------------------------------------------------------------------
70
  --    1-bit register with asynchronous reset and clock enable
71
  -- 
72 9 JonasDC
  component register_1b is
73
    port(
74
      core_clk : in  std_logic; -- clock input
75
      ce       : in  std_logic; -- clock enable (active high)
76
      reset    : in  std_logic; -- reset (active high)
77
      din      : in  std_logic; -- data in
78
      dout     : out std_logic  -- data out
79 3 JonasDC
    );
80 9 JonasDC
  end component register_1b;
81 3 JonasDC
 
82 16 JonasDC
  --------------------------------------------------------------------
83
  -- register_n
84
  --------------------------------------------------------------------
85
  --    n-bit register with asynchronous reset and clock enable
86
  -- 
87 9 JonasDC
  component register_n is
88
    generic(
89 16 JonasDC
      width : integer := 4
90 3 JonasDC
    );
91 9 JonasDC
    port(
92
      core_clk : in  std_logic; -- clock input
93
      ce       : in  std_logic; -- clock enable (active high)
94
      reset    : in  std_logic; -- reset (active high)
95 16 JonasDC
      din      : in  std_logic_vector((width-1) downto 0);  -- data in (width)-bit
96
      dout     : out std_logic_vector((width-1) downto 0)   -- data out (width)-bit
97 3 JonasDC
    );
98 9 JonasDC
  end component register_n;
99 3 JonasDC
 
100 16 JonasDC
  --------------------------------------------------------------------
101
  -- cell_1b_adder
102
  --------------------------------------------------------------------
103
  --    1-bit full adder cell using combinatorial logic
104
  --    
105 3 JonasDC
  component cell_1b_adder is
106
    port (
107 9 JonasDC
      -- input operands a, b
108
      a    : in  std_logic;
109
      b    : in  std_logic;
110
      -- carry in, out
111
      cin  : in  std_logic;
112
      cout : out  std_logic;
113
      -- result out
114
      r    : out  std_logic
115 3 JonasDC
    );
116
  end component cell_1b_adder;
117
 
118 16 JonasDC
  --------------------------------------------------------------------
119
  -- cell_1b_mux
120
  --------------------------------------------------------------------
121
  --    1-bit mux for a standard cell in the montgommery multiplier 
122
  --    systolic array
123
  -- 
124 3 JonasDC
  component cell_1b_mux is
125
    port (
126 9 JonasDC
      -- input bits
127
      my     : in  std_logic;
128 3 JonasDC
      y      : in  std_logic;
129
      m      : in  std_logic;
130 9 JonasDC
      -- selection bits
131 3 JonasDC
      x      : in  std_logic;
132
      q      : in  std_logic;
133 9 JonasDC
      -- mux out
134 3 JonasDC
      result : out std_logic
135
    );
136
  end component cell_1b_mux;
137
 
138 16 JonasDC
  --------------------------------------------------------------------
139
  -- cell_1b
140
  --------------------------------------------------------------------
141
  --    1-bit cell for the systolic array
142
  -- 
143 3 JonasDC
  component cell_1b is
144
    port (
145 9 JonasDC
      -- operand input bits (m+y, y and m)
146 3 JonasDC
      my   : in  std_logic;
147
      y    : in  std_logic;
148
      m    : in  std_logic;
149 16 JonasDC
      -- operand x input bit and q
150 3 JonasDC
      x    : in  std_logic;
151
      q    : in  std_logic;
152 9 JonasDC
      -- previous result input bit
153 3 JonasDC
      a    : in  std_logic;
154 9 JonasDC
      -- carry's
155 3 JonasDC
      cin  : in  std_logic;
156
      cout : out std_logic;
157 9 JonasDC
      -- cell result out
158 3 JonasDC
      r    : out std_logic
159
    );
160
  end component cell_1b;
161
 
162 16 JonasDC
  --------------------------------------------------------------------
163
  -- adder_block
164
  --------------------------------------------------------------------
165
  --    (width)-bit full adder block using cell_1b_adders with buffered
166
  --    carry out
167
  -- 
168 9 JonasDC
  component adder_block is
169
    generic (
170
      width : integer := 32 --adder operand widths
171
    );
172
    port (
173
      -- clock input
174
      core_clk : in std_logic;
175
      -- adder input operands a, b (width)-bit
176
      a : in std_logic_vector((width-1) downto 0);
177
      b : in std_logic_vector((width-1) downto 0);
178
      -- carry in, out
179
      cin   : in std_logic;
180
      cout  : out std_logic;
181
      -- adder result out (width)-bit
182
      r : out std_logic_vector((width-1) downto 0)
183
    );
184
  end component adder_block;
185
 
186 16 JonasDC
  --------------------------------------------------------------------
187
  -- adder_n
188
  --------------------------------------------------------------------
189
  --    n-bit adder using adder blocks. works in stages, to prevent 
190
  --    large carry propagation. 
191
  --    Result avaiable after (width/block_width) clock cycles
192
  -- 
193 9 JonasDC
  component adder_n is
194
    generic (
195
      width       : integer := 1536; -- adder operands width
196
      block_width : integer := 8     -- adder blocks size
197
    );
198
    port (
199
      -- clock input
200
      core_clk : in std_logic;
201
      -- adder input operands (width)-bit
202
      a : in std_logic_vector((width-1) downto 0);
203
      b : in std_logic_vector((width-1) downto 0);
204
      -- carry in, out
205
      cin   : in std_logic;
206
      cout  : out std_logic;
207
      -- adder output result (width)-bit
208
      r : out std_logic_vector((width-1) downto 0)
209
    );
210
  end component adder_n;
211
 
212 17 JonasDC
  --------------------------------------------------------------------
213
  -- standard_cell_block
214
  --------------------------------------------------------------------
215
  --    a standard cell block of (width)-bit for the montgommery multiplier 
216
  --    systolic array
217
  -- 
218
  component standard_cell_block is
219
    generic (
220
      width : integer := 16
221
    );
222
    port (
223
      -- modulus and y operand input (width)-bit
224
      my   : in  std_logic_vector((width-1) downto 0);
225
      y    : in  std_logic_vector((width-1) downto 0);
226
      m    : in  std_logic_vector((width-1) downto 0);
227
      -- q and x operand input (serial input)
228
      x    : in  std_logic;
229
      q    : in  std_logic;
230
      -- previous result in (width)-bit
231
      a    : in  std_logic_vector((width-1) downto 0);
232
      -- carry in and out
233
      cin  : in std_logic;
234
      cout : out std_logic;
235
      -- result out (width)-bit
236
      r    : out  std_logic_vector((width-1) downto 0)
237
    );
238
  end component standard_cell_block;
239
 
240
  --------------------------------------------------------------------
241
  -- standard_stage
242
  --------------------------------------------------------------------
243
  --    standard stage for use in the montgommery multiplier pipeline
244
  --    the result is available after 1 clock cycle
245
  -- 
246
  component standard_stage is
247
    generic(
248
      width : integer := 32
249
    );
250
    port(
251
      -- clock input
252
      core_clk : in  std_logic;
253
      -- modulus and y operand input (width)-bit
254
      my       : in  std_logic_vector((width-1) downto 0);
255
      y        : in  std_logic_vector((width-1) downto 0);
256
      m        : in  std_logic_vector((width-1) downto 0);
257
      -- q and x operand input (serial input)
258
      xin      : in  std_logic;
259
      qin      : in  std_logic;
260
      -- q and x operand output (serial output)
261
      xout     : out std_logic;
262
      qout     : out std_logic;
263
      -- msb input (lsb from next stage, for shift right operation)
264
      a_msb    : in  std_logic;
265
      -- carry out(clocked) and in
266
      cin      : in  std_logic;
267
      cout     : out std_logic;
268
      -- control singals
269
      start    : in  std_logic;
270
      reset    : in  std_logic;
271
      done : out std_logic;
272
      -- result out
273
      r    : out std_logic_vector((width-1) downto 0)
274
    );
275
  end component standard_stage;
276
 
277 18 JonasDC
  --------------------------------------------------------------------
278
  -- first_stage
279
  --------------------------------------------------------------------
280
  --    first stage for use in the montgommery multiplier pipeline
281
  --    generates the q signal for all following stages
282
  --    the result is available after 1 clock cycle
283
  -- 
284
  component first_stage is
285
    generic(
286
      width : integer := 16 -- must be the same as width of the standard stage
287
    );
288
    port(
289
      -- clock input
290
      core_clk : in  std_logic;
291
      -- modulus and y operand input (width+1)-bit
292
      my       : in  std_logic_vector((width) downto 0);
293
      y        : in  std_logic_vector((width) downto 0);
294
      m        : in  std_logic_vector((width) downto 0);
295
      -- x operand input (serial input)
296
      xin      : in  std_logic;
297
      -- q and x operand output (serial output)
298
      xout     : out std_logic;
299
      qout     : out std_logic;
300
      -- msb input (lsb from next stage, for shift right operation)
301
      a_msb    : in  std_logic;
302
      -- carry out
303
      cout     : out std_logic;
304
      -- control signals
305
      start    : in  std_logic;
306
      reset    : in  std_logic;
307
      done     : out std_logic;
308
      -- result out
309
      r        : out std_logic_vector((width-1) downto 0)
310
    );
311
  end component first_stage;
312
 
313
  --------------------------------------------------------------------
314
  -- last_stage
315
  --------------------------------------------------------------------
316
  --    last stage for use in the montgommery multiplier pipeline
317
  --    the result is available after 1 clock cycle
318
  -- 
319
  component last_stage is
320
    generic(
321
      width : integer := 16 -- must be the same as width of the standard stage
322
    );
323
    port(
324
      -- clock input
325
      core_clk : in  std_logic;
326
      -- modulus and y operand input (width(-1))-bit
327
      my       : in  std_logic_vector((width-1) downto 0);
328
      y        : in  std_logic_vector((width-2) downto 0);
329
      m        : in  std_logic_vector((width-2) downto 0);
330
      -- q and x operand input (serial input)
331
      xin      : in  std_logic;
332
      qin      : in  std_logic;
333
      -- carry in
334
      cin      : in  std_logic;
335
      -- control signals
336
      start    : in  std_logic;
337
      reset    : in  std_logic;
338
      -- result out
339
      r        : out std_logic_vector((width+1) downto 0)
340
    );
341
  end component last_stage;
342
 
343 19 JonasDC
  --------------------------------------------------------------------
344
  -- counter_sync
345
  --------------------------------------------------------------------
346
  --    counter with synchronous count enable. It generates an
347
  --    overflow when max_value is reached
348
  -- 
349
  component counter_sync is
350
    generic(
351
      max_value : integer := 1024 -- maximum value (constraints the nr bits for counter)
352
    );
353
    port(
354
      reset_value : in integer;   -- value the counter counts to
355
      core_clk    : in std_logic; -- clock input
356
      ce          : in std_logic; -- count enable
357
      reset       : in std_logic; -- reset input
358
      overflow    : out std_logic -- gets high when counter reaches reset_value
359
    );
360
  end component counter_sync;
361 18 JonasDC
 
362 19 JonasDC
  --------------------------------------------------------------------
363
  -- stepping_logic
364
  --------------------------------------------------------------------
365
  --    stepping logic for the pipeline, generates the start pulses for the
366
  --    first stage and keeps track of when the last stages are done
367
  -- 
368
  component stepping_logic is
369
    generic(
370
      n : integer := 1536;  -- max nr of steps required to complete a multiplication
371
      t : integer := 192    -- total nr of steps in the pipeline
372
    );
373
    port(
374
      core_clk          : in  std_logic;  -- clock input
375
      start             : in  std_logic;  -- start signal for pipeline (one multiplication)
376
      reset             : in  std_logic;  -- reset signal
377
      t_sel             : in integer range 0 to t; -- nr of stages in the pipeline piece
378
      n_sel             : in integer range 0 to n; -- nr of steps(bits in operands) required for a complete multiplication
379
      start_first_stage : out std_logic;  -- start pulse output for first stage
380
      stepping_done     : out std_logic   -- done signal
381
    );
382
  end component stepping_logic;
383
 
384 9 JonasDC
  component autorun_cntrl is
385
    port (
386
      clk              : in  std_logic;
387
      reset            : in  std_logic;
388
      start            : in  std_logic;
389
      done             : out  std_logic;
390
      op_sel           : out  std_logic_vector (1 downto 0);
391
      start_multiplier : out  std_logic;
392
      multiplier_done  : in  std_logic;
393
      read_buffer      : out  std_logic;
394
      buffer_din       : in  std_logic_vector (31 downto 0);
395
      buffer_empty     : in  std_logic
396
    );
397
  end component autorun_cntrl;
398
 
399 3 JonasDC
  component fifo_primitive is
400
    port (
401
      clk    : in  std_logic;
402
      din    : in  std_logic_vector (31 downto 0);
403
      dout   : out  std_logic_vector (31 downto 0);
404
      empty  : out  std_logic;
405
      full   : out  std_logic;
406
      push   : in  std_logic;
407
      pop    : in  std_logic;
408
      reset  : in std_logic;
409
      nopop  : out std_logic;
410
      nopush : out std_logic
411
    );
412
  end component fifo_primitive;
413
 
414
  component modulus_ram is
415
    port(
416
      clk           : in std_logic;
417
      modulus_addr  : in std_logic_vector(5 downto 0);
418
      write_modulus : in std_logic;
419
      modulus_in    : in std_logic_vector(31 downto 0);
420
      modulus_out   : out std_logic_vector(1535 downto 0)
421
    );
422
  end component modulus_ram;
423
 
424
  component mont_ctrl is
425
    port (
426
      clk   : in std_logic;
427
      reset : in std_logic;
428
        -- bus side
429
      start           : in std_logic;
430
      x_sel_single    : in std_logic_vector(1 downto 0);
431
      y_sel_single    : in std_logic_vector(1 downto 0);
432
      run_auto        : in std_logic;
433
      op_buffer_empty : in std_logic;
434
      op_sel_buffer   : in std_logic_vector(31 downto 0);
435
      read_buffer     : out std_logic;
436
      buffer_noread   : in std_logic;
437
      done            : out std_logic;
438
      calc_time       : out std_logic;
439
        -- multiplier side
440
      op_sel           : out std_logic_vector(1 downto 0);
441
      load_x           : out std_logic;
442
      load_result      : out std_logic;
443
      start_multiplier : out std_logic;
444
      multiplier_ready : in std_logic
445
    );
446
  end component mont_ctrl;
447
 
448
  component mont_mult_sys_pipeline is
449
    generic (
450
      n          : integer := 1536;
451
      nr_stages  : integer := 96; --(divides n, bits_low & (n-bits_low))
452
      stages_low : integer := 32
453
    );
454
    port (
455
      core_clk : in std_logic;
456
      xy       : in std_logic_vector((n-1) downto 0);
457
      m        : in std_logic_vector((n-1) downto 0);
458
      r        : out std_logic_vector((n-1) downto 0);
459
      start    : in std_logic;
460
      reset    : in std_logic;
461
      p_sel    : in std_logic_vector(1 downto 0);
462
      load_x   : in std_logic;
463
      ready    : out std_logic
464
    );
465
  end component mont_mult_sys_pipeline;
466
 
467
  component multiplier_core is
468
    port(
469
      clk   : in  std_logic;
470
      reset : in  std_logic;
471
        -- operand memory interface (plb shared memory)
472
      write_enable : in  std_logic;
473
      data_in      : in  std_logic_vector (31 downto 0);
474
      rw_address   : in  std_logic_vector (8 downto 0);
475
      data_out     : out std_logic_vector (31 downto 0);
476
      collision    : out std_logic;
477
        -- op_sel fifo interface
478
      fifo_din    : in  std_logic_vector (31 downto 0);
479
      fifo_push   : in  std_logic;
480
      fifo_full   : out std_logic;
481
      fifo_nopush : out std_logic;
482
        -- ctrl signals
483
      start          : in  std_logic;
484
      run_auto       : in  std_logic;
485
      ready          : out std_logic;
486
      x_sel_single   : in  std_logic_vector (1 downto 0);
487
      y_sel_single   : in  std_logic_vector (1 downto 0);
488
      dest_op_single : in  std_logic_vector (1 downto 0);
489
      p_sel          : in  std_logic_vector (1 downto 0);
490
      calc_time      : out std_logic
491
    );
492
  end component multiplier_core;
493
 
494
  component operand_dp is
495
    port (
496
      clka  : in std_logic;
497
      wea   : in std_logic_vector(0 downto 0);
498
      addra : in std_logic_vector(5 downto 0);
499
      dina  : in std_logic_vector(31 downto 0);
500
      douta : out std_logic_vector(511 downto 0);
501
      clkb  : in std_logic;
502
      web   : in std_logic_vector(0 downto 0);
503
      addrb : in std_logic_vector(5 downto 0);
504
      dinb  : in std_logic_vector(511 downto 0);
505
      doutb : out std_logic_vector(31 downto 0)
506
    );
507
  end component operand_dp;
508
 
509
  component operand_mem is
510
    generic(n : integer := 1536
511
    );
512
    port(
513
        -- data interface (plb side)
514
      data_in    : in  std_logic_vector(31 downto 0);
515
      data_out   : out  std_logic_vector(31 downto 0);
516
      rw_address : in  std_logic_vector(8 downto 0);
517
        -- address structure:
518
        -- bit:  8   -> '1': modulus
519
        --              '0': operands
520
        -- bits: 7-6 -> operand_in_sel in case of bit 8 = '0'
521
        --              don't care in case of modulus
522
        -- bits: 5-0 -> modulus_addr / operand_addr resp.
523
 
524
        -- operand interface (multiplier side)
525
      op_sel    : in  std_logic_vector(1 downto 0);
526
      xy_out    : out  std_logic_vector(1535 downto 0);
527
      m         : out  std_logic_vector(1535 downto 0);
528
      result_in : in std_logic_vector(1535 downto 0);
529
        -- control signals
530
      load_op        : in std_logic;
531
      load_m         : in std_logic;
532
      load_result    : in std_logic;
533
      result_dest_op : in std_logic_vector(1 downto 0);
534
      collision      : out std_logic;
535
        -- system clock
536
      clk : in  std_logic
537
    );
538
  end component operand_mem;
539
 
540
  component operand_ram is
541
    port( -- write_operand_ack voorzien?
542
      -- global ports
543
      clk       : in std_logic;
544
      collision : out std_logic;
545
      -- bus side connections (32-bit serial)
546
      operand_addr   : in std_logic_vector(5 downto 0);
547
      operand_in     : in std_logic_vector(31 downto 0);
548
      operand_in_sel : in std_logic_vector(1 downto 0);
549
      result_out     : out std_logic_vector(31 downto 0);
550
      write_operand  : in std_logic;
551
      -- multiplier side connections (1536 bit parallel)
552
      result_dest_op  : in std_logic_vector(1 downto 0);
553
      operand_out     : out std_logic_vector(1535 downto 0);
554
      operand_out_sel : in std_logic_vector(1 downto 0); -- controlled by bus side
555
      write_result    : in std_logic;
556
      result_in       : in std_logic_vector(1535 downto 0)
557
    );
558
  end component operand_ram;
559
 
560
  component operands_sp is
561
    port (
562
      clka  : in std_logic;
563
      wea   : in std_logic_vector(0 downto 0);
564
      addra : in std_logic_vector(4 downto 0);
565
      dina  : in std_logic_vector(31 downto 0);
566
      douta : out std_logic_vector(511 downto 0)
567
    );
568
  end component operands_sp;
569
 
570
  component systolic_pipeline is
571
    generic(
572
      n  : integer := 1536; -- width of the operands (# bits)
573
      t  : integer := 192;  -- number of stages (divider of n) >= 2
574
      tl : integer := 64    -- best take t = sqrt(n)
575
    );
576
    port(
577
      core_clk : in  std_logic;
578
      my       : in  std_logic_vector((n) downto 0);
579
      y        : in  std_logic_vector((n-1) downto 0);
580
      m        : in  std_logic_vector((n-1) downto 0);
581
      xi       : in  std_logic;
582
      start    : in  std_logic;
583
      reset    : in  std_logic;
584
      p_sel    : in  std_logic_vector(1 downto 0); -- select which piece of the multiplier will be used
585
      ready    : out std_logic;
586
      next_x   : out std_logic;
587
      r        : out std_logic_vector((n+1) downto 0)
588
    );
589
  end component systolic_pipeline;
590
 
591
  component x_shift_reg is
592
    generic(
593
      n  : integer := 1536;
594
      t  : integer := 48;
595
      tl : integer := 16
596
    );
597
    port(
598
      clk    : in  std_logic;
599
      reset  : in  std_logic;
600
      x_in   : in  std_logic_vector((n-1) downto 0);
601
      load_x : in  std_logic;
602
      next_x : in  std_logic;
603
      p_sel  : in  std_logic_vector(1 downto 0);
604
      x_i    : out std_logic
605
    );
606
  end component x_shift_reg;
607
 
608
end package mod_sim_exp_pkg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.