OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [mont_ctrl.vhd] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 JonasDC
----------------------------------------------------------------------  
2
----  mont_ctrl                                                   ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    control unit for a pipelined montgomery multiplier, with  ----
10
----    split pipeline operation and "auto-run" support           ----
11
----                                                              ----
12
----  Dependencies:                                               ----
13
----    - autorun_cntrl                                           ----
14
----                                                              ----
15
----  Authors:                                                    ----
16
----      - Geoffrey Ottoy, DraMCo research group                 ----
17
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
18
----                                                              ---- 
19
---------------------------------------------------------------------- 
20
----                                                              ---- 
21
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
22
----                                                              ---- 
23
---- This source file may be used and distributed without         ---- 
24
---- restriction provided that this copyright statement is not    ---- 
25
---- removed from the file and that any derivative work contains  ---- 
26
---- the original copyright notice and the associated disclaimer. ---- 
27
----                                                              ---- 
28
---- This source file is free software; you can redistribute it   ---- 
29
---- and/or modify it under the terms of the GNU Lesser General   ---- 
30
---- Public License as published by the Free Software Foundation; ---- 
31
---- either version 2.1 of the License, or (at your option) any   ---- 
32
---- later version.                                               ---- 
33
----                                                              ---- 
34
---- This source is distributed in the hope that it will be       ---- 
35
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
36
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
37
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
38
---- details.                                                     ---- 
39
----                                                              ---- 
40
---- You should have received a copy of the GNU Lesser General    ---- 
41
---- Public License along with this source; if not, download it   ---- 
42
---- from http://www.opencores.org/lgpl.shtml                     ---- 
43
----                                                              ---- 
44
----------------------------------------------------------------------
45 2 JonasDC
 
46 3 JonasDC
library ieee;
47
use ieee.std_logic_1164.all;
48
use ieee.std_logic_arith.all;
49
use ieee.std_logic_unsigned.all;
50 2 JonasDC
 
51 3 JonasDC
library mod_sim_exp;
52
use mod_sim_exp.mod_sim_exp_pkg.all;
53
 
54
 
55 24 JonasDC
-- This module controls the montgommery mutliplier and controls traffic between
56
-- RAM and multiplier. Also contains the autorun logic for exponentiations.
57 2 JonasDC
entity mont_ctrl is
58 3 JonasDC
  port (
59
    clk   : in std_logic;
60
    reset : in std_logic;
61
      -- bus side
62
    start           : in std_logic;
63
    x_sel_single    : in std_logic_vector(1 downto 0);
64
    y_sel_single    : in std_logic_vector(1 downto 0);
65
    run_auto        : in std_logic;
66
    op_buffer_empty : in std_logic;
67
    op_sel_buffer   : in std_logic_vector(31 downto 0);
68
    read_buffer     : out std_logic;
69
    buffer_noread   : in std_logic;
70
    done            : out std_logic;
71
    calc_time       : out std_logic;
72
      -- multiplier side
73
    op_sel           : out std_logic_vector(1 downto 0);
74
    load_x           : out std_logic;
75
    load_result      : out std_logic;
76
    start_multiplier : out std_logic;
77
    multiplier_ready : in std_logic
78 2 JonasDC
  );
79
end mont_ctrl;
80
 
81 3 JonasDC
 
82 2 JonasDC
architecture Behavioral of mont_ctrl is
83 24 JonasDC
  signal start_d      : std_logic; -- delayed version of start input
84
  signal start_pulse        : std_logic;
85
  signal auto_start_pulse   : std_logic;
86 3 JonasDC
  signal start_multiplier_i   : std_logic;
87 24 JonasDC
  signal start_up_counter   : std_logic_vector(2 downto 0) := "100"; -- used in op_sel at multiplier start
88 2 JonasDC
 
89 3 JonasDC
  signal calc_time_i : std_logic; -- high ('1') during multiplication
90
 
91 24 JonasDC
  signal x_sel        : std_logic_vector(1 downto 0); -- the operand used as x input
92
  signal y_sel        : std_logic_vector(1 downto 0); -- the operand used as y input
93
  signal x_sel_buffer : std_logic_vector(1 downto 0); -- x operand as specified by fifo buffer (autorun)
94 3 JonasDC
 
95 24 JonasDC
  signal auto_done             : std_logic;
96
  signal start_auto            : std_logic;
97 3 JonasDC
  signal auto_multiplier_done_i : std_logic;
98 2 JonasDC
 
99
begin
100
 
101
        -----------------------------------------------------------------------------------
102
        -- Processes related to starting and stopping the multiplier
103
        -----------------------------------------------------------------------------------
104
        -- generate a start pulse (duration 1 clock cycle) based on ext. start sig
105
        START_PULSE_PROC: process(clk)
106
        begin
107
                if rising_edge(clk) then
108 24 JonasDC
                        start_d <= start;
109 2 JonasDC
                end if;
110
        end process START_PULSE_PROC;
111 24 JonasDC
        start_pulse <= start and (not start_d);
112
        start_auto <= start_pulse and run_auto;
113 2 JonasDC
 
114 24 JonasDC
        -- to start the multiplier we first need to select the x_operand and
115
        -- clock it in the x shift register
116
        -- the we select the y_operand and start the multiplier
117
 
118
        -- start_up_counter
119
        --   default state : "100"
120
        --   at start pulse counter resets to 0 and counts up to "100"
121 2 JonasDC
        START_MULT_PROC: process(clk, reset)
122
        begin
123
                if reset = '1' then
124 24 JonasDC
                        start_up_counter <= "100";
125 2 JonasDC
                elsif rising_edge(clk) then
126 24 JonasDC
                        if start_pulse = '1' or auto_start_pulse = '1' then
127
                                start_up_counter <= "000";
128
                        elsif start_up_counter(2) /= '1' then
129
                                start_up_counter <= start_up_counter + '1';
130 2 JonasDC
                        else
131 24 JonasDC
                                start_up_counter <= "100";
132 2 JonasDC
                        end if;
133
                else
134 24 JonasDC
                        start_up_counter <= start_up_counter;
135 2 JonasDC
                end if;
136
        end process;
137
 
138
        -- select operands (autorun/single run)
139 24 JonasDC
        x_sel <= x_sel_buffer when (run_auto = '1') else x_sel_single;
140
        y_sel <= "11" when (run_auto = '1') else y_sel_single; -- y is operand3 in auto mode
141 2 JonasDC
 
142 24 JonasDC
        -- clock operands to operand_mem output (first x, then y)
143
        with start_up_counter(2 downto 1) select
144
                op_sel <= x_sel when "00",  -- start_up_counter="00x" (first 2 cycles)
145
                          y_sel when others;  -- 
146
        load_x <= start_up_counter(0) and (not start_up_counter(1)); -- latch x operand if start_up_counter="x01"
147
 
148
        -- start multiplier when start_up_counter="x11"
149
        start_multiplier_i <= start_up_counter(1) and start_up_counter(0);
150 2 JonasDC
        start_multiplier <= start_multiplier_i;
151
 
152
        -- signal calc time is high during multiplication
153
        CALC_TIME_PROC: process(clk, reset)
154
        begin
155
                if reset = '1' then
156
                        calc_time_i <= '0';
157
                elsif rising_edge(clk) then
158
                        if start_multiplier_i = '1' then
159
                                calc_time_i <= '1';
160
                        elsif multiplier_ready = '1' then
161
                                calc_time_i <= '0';
162
                        else
163
                                calc_time_i <= calc_time_i;
164
                        end if;
165
                else
166
                        calc_time_i <= calc_time_i;
167
                end if;
168
        end process CALC_TIME_PROC;
169
        calc_time <= calc_time_i;
170
 
171
        -- what happens when a multiplication has finished
172
        load_result <= multiplier_ready;
173 24 JonasDC
        -- ignore multiplier_ready when in automode, the logic will assert auto_done when finished
174
        done <= ((not run_auto) and multiplier_ready) or auto_done;
175 2 JonasDC
 
176
        -----------------------------------------------------------------------------------
177
        -- Processes related to op_buffer cntrl and auto_run mode
178 24 JonasDC
        -- start_auto     -> start autorun mode operation
179 2 JonasDC
        -- auto_start_pulse <- autorun logic starts the multiplier
180
        -- auto_done        <- autorun logic signals when autorun operation has finished
181 24 JonasDC
        -- x_sel_buffer   <- autorun logic determines which operand is used as x
182 2 JonasDC
 
183
        -- check buffer empty signal
184
        -----------------------------------------------------------------------------------
185 24 JonasDC
 
186 2 JonasDC
        -- multiplier_ready is only passed to autorun control when in autorun mode
187 24 JonasDC
        auto_multiplier_done_i <= (multiplier_ready and run_auto);
188 3 JonasDC
 
189
  autorun_control_logic : autorun_cntrl port map(
190
    clk              => clk,
191
    reset            => reset,
192 24 JonasDC
    start            => start_auto,
193
    done             => auto_done,
194
    op_sel           => x_sel_buffer,
195
    start_multiplier => auto_start_pulse,
196 3 JonasDC
    multiplier_done  => auto_multiplier_done_i,
197
    read_buffer      => read_buffer,
198
    buffer_din       => op_sel_buffer,
199
    buffer_empty     => op_buffer_empty
200
  );
201
 
202 2 JonasDC
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.