OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [operand_ram_asym.vhd] - Blame information for rev 75

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 67 JonasDC
----------------------------------------------------------------------  
2
----  operand_ram_asym                                            ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    BRAM memory and logic to store the operands, due to the   ----
10
----    achitecture, a minimum depth of 2 is needed for this      ----
11
----    module to be inferred into blockram, this version is      ----
12
----    slightly more performant than operand_ram_gen and uses    ----
13
----    less resources. but does not work on every fpga, only     ----
14
----    the ones that support asymmetric rams.                    ----           
15
----                                                              ---- 
16
----  Dependencies:                                               ----
17
----    - tdpramblock_asym                                        ----
18
----                                                              ----
19
----  Authors:                                                    ----
20
----      - Geoffrey Ottoy, DraMCo research group                 ----
21
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
22
----                                                              ---- 
23
---------------------------------------------------------------------- 
24
----                                                              ---- 
25
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
26
----                                                              ---- 
27
---- This source file may be used and distributed without         ---- 
28
---- restriction provided that this copyright statement is not    ---- 
29
---- removed from the file and that any derivative work contains  ---- 
30
---- the original copyright notice and the associated disclaimer. ---- 
31
----                                                              ---- 
32
---- This source file is free software; you can redistribute it   ---- 
33
---- and/or modify it under the terms of the GNU Lesser General   ---- 
34
---- Public License as published by the Free Software Foundation; ---- 
35
---- either version 2.1 of the License, or (at your option) any   ---- 
36
---- later version.                                               ---- 
37
----                                                              ---- 
38
---- This source is distributed in the hope that it will be       ---- 
39
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
40
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
41
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
42
---- details.                                                     ---- 
43
----                                                              ---- 
44
---- You should have received a copy of the GNU Lesser General    ---- 
45
---- Public License along with this source; if not, download it   ---- 
46
---- from http://www.opencores.org/lgpl.shtml                     ---- 
47
----                                                              ---- 
48
----------------------------------------------------------------------
49
 
50
library ieee;
51
use ieee.std_logic_1164.all;
52
use ieee.std_logic_arith.all;
53
use ieee.std_logic_unsigned.all;
54
 
55
library mod_sim_exp;
56
use mod_sim_exp.std_functions.all;
57
 
58
-- structural description of a RAM to hold the operands, with 
59
-- adjustable width (64, 128, 256, 512, 576, 640,..) and depth(nr of operands)
60
--    formula for available widths: (i*512+(0 or 64 or 128 or 256)) (i=integer number) 
61
--
62
entity operand_ram_asym is
63
  generic(
64
    width  : integer := 1536; -- width of the operands
65
    depth  : integer := 4;    -- nr of operands
66
    device : string  := "xilinx"
67
  );
68
  port(
69
      -- global ports
70
    clk       : in std_logic;
71
    collision : out std_logic; -- 1 if simultaneous write on RAM
72
      -- bus side connections (32-bit serial)
73
    write_operand  : in std_logic; -- write_enable
74
    operand_in_sel : in std_logic_vector(log2(depth)-1 downto 0); -- operand to write to
75
    operand_addr   : in std_logic_vector(log2(width/32)-1 downto 0); -- address of operand word to write
76
    operand_in     : in std_logic_vector(31 downto 0);  -- operand word(32-bit) to write
77
    result_out     : out std_logic_vector(31 downto 0); -- operand out, reading is always result operand
78
    operand_out_sel : in std_logic_vector(log2(depth)-1 downto 0); -- operand to give to multiplier
79
      -- multiplier side connections (width-bit parallel)
80
    result_dest_op  : in std_logic_vector(log2(depth)-1 downto 0); -- operand select for result
81
    operand_out     : out std_logic_vector(width-1 downto 0); -- operand out to multiplier
82
    write_result    : in std_logic; -- write enable for multiplier side
83
    result_in       : in std_logic_vector(width-1 downto 0) -- result to write from multiplier
84
  );
85
end operand_ram_asym;
86
 
87
architecture Behavioral of operand_ram_asym is
88
  -- contstants
89
  constant RAMblock_maxwidth   : integer := 512;
90
  constant nrRAMblocks_full    : integer := width/RAMblock_maxwidth;
91
  constant RAMblock_part       : integer := width rem RAMblock_maxwidth;
92
  constant RAMblock_part_width : integer := width-(nrRAMblocks_full*RAMblock_maxwidth);
93
  constant RAMselect_aw        : integer := log2(width/32)-log2(nrRAMblocks_full/32);
94
 
95
  -- internal signals
96
  signal mult_op_sel     : std_logic_vector(log2(depth)-1 downto 0);
97
  signal write_operand_i : std_logic;
98
begin
99
  -- WARNING: Very Important!
100
  -- wea & web signals must never be high at the same time !!
101
  -- web has priority 
102
  write_operand_i <= write_operand and not write_result; -- portB has write priority
103
  collision <= write_operand and write_result;
104
 
105
  -- when multiplier is writing back result, select the result address
106
  with write_result select
107
  mult_op_sel <= result_dest_op when '1',
108
                 operand_out_sel when others;
109
 
110
  -- generate (width/512) ramblocks with a given depth
111
  -- these rams are tyed together to form the following structure
112
  --  True dual port ram:
113
  --  - PORT A : 32-bit write      | 32-bit read
114
  --  - PORT B : (width)-bit write | (width)-bit read
115
  -- 
116
  single_block : if (width <= RAMblock_maxwidth) generate
117
    -- signals for single block
118
    signal addrA_single : std_logic_vector(log2(width*depth/32)-1 downto 0);
119
  begin
120
    addrA_single <= operand_in_sel & operand_addr;
121
    ramblock : entity mod_sim_exp.tdpramblock_asym
122
    generic map(
123
      depth  => depth,
124
      width  => width,
125
      device => device
126
    )
127
    port map(
128
      clk => clk,
129
      -- port A 32-bit
130
      addrA => addrA_single,
131
      weA   => write_operand_i,
132
      dinA  => operand_in,
133
      doutA => result_out,
134
      -- port B (width)-bit
135
      addrB => mult_op_sel,
136
      weB   => write_result,
137
      dinB  => result_in,
138
      doutB => operand_out
139
    );
140
  end generate;
141
 
142
  multiple_full_blocks : if (width > RAMblock_maxwidth) generate
143
    -- signals for multiple blocks
144
    type wordsplit is array (nrRAMblocks_full downto 0) of std_logic_vector(31 downto 0);
145
    signal doutA_RAM  : wordsplit;
146
    signal addrA      : std_logic_vector(log2(RAMblock_maxwidth*depth/32)-1 downto 0);
147
    signal weA_RAM    : std_logic_vector(nrRAMblocks_full-1 downto 0);
148
  begin
149
    ramblocks_full : for i in 0 to nrRAMblocks_full generate
150
      -- port A signals
151
      addrA <= operand_in_sel & operand_addr(log2(RAMblock_maxwidth/32)-1 downto 0);
152
 
153
      full_ones : if (i < nrRAMblocks_full) generate
154
        ramblock_full : entity mod_sim_exp.tdpramblock_asym
155
        generic map(
156
          depth  => depth,
157
          width  => RAMblock_maxwidth,
158
          device => device
159
        )
160
        port map(
161
          clk => clk,
162
          -- port A 32-bit
163
          addrA => addrA,
164
          weA   => weA_RAM(i),
165
          dinA  => operand_in,
166
          doutA => doutA_RAM(i),
167
          -- port B (width)-bit
168
          addrB => mult_op_sel,
169
          weB   => write_result,
170
          dinB  => result_in((i+1)*RAMblock_maxwidth-1 downto i*RAMblock_maxwidth),
171
          doutB => operand_out((i+1)*RAMblock_maxwidth-1 downto i*RAMblock_maxwidth)
172
        );
173
        -- weA, weB
174 69 JonasDC
        process (write_operand_i, operand_addr)
175 67 JonasDC
        begin
176
          if operand_addr(log2(width/32)-1 downto log2(RAMblock_maxwidth/32)) = conv_std_logic_vector(i,RAMselect_aw) then
177
            weA_RAM(i) <= write_operand_i;
178
          else
179
            weA_RAM(i) <= '0';
180
          end if;
181
        end process;
182
        only_once : if (i = 0) generate
183
          -- port A read mux
184
          only_full_blocks : if (RAMblock_part = 0) generate
185
            result_out <= doutA_RAM(conv_integer(operand_addr(log2(width/32)-1 downto log2(RAMblock_maxwidth/32))))
186
                              when (conv_integer(operand_addr(log2(width/32)-1 downto log2(RAMblock_maxwidth/32)))<nrRAMblocks_full)
187
                          else (others=>'0');
188
          end generate;
189
          with_extra_part : if (RAMblock_part /= 0) generate
190
            result_out <= doutA_RAM(conv_integer(operand_addr(log2(width/32)-1 downto log2(RAMblock_maxwidth/32))))
191
                              when (conv_integer(operand_addr(log2(width/32)-1 downto log2(RAMblock_maxwidth/32)))<nrRAMblocks_full+1)
192
                          else (others=>'0');
193
          end generate;
194
        end generate;
195
      end generate;
196
 
197
      optional_part : if (i = nrRAMblocks_full) and (RAMblock_part /= 0) generate
198
        -- signals for part
199
        signal addrA_part : std_logic_vector(log2(RAMblock_part_width*depth/32)-1 downto 0);
200
        signal weA_part   : std_logic;
201
      begin
202
        addrA_part <= operand_in_sel & operand_addr(log2(RAMblock_part_width/32)-1 downto 0);
203
        ramblock_part : entity mod_sim_exp.tdpramblock_asym
204
        generic map(
205
          depth  => depth,
206
          width  => RAMblock_part_width,
207
          device => device
208
        )
209
        port map(
210
          clk => clk,
211
          -- port A 32-bit
212
          addrA => addrA_part,
213
          weA   => weA_part,
214
          dinA  => operand_in,
215
          doutA => doutA_RAM(i),
216
          -- port B (width)-bit
217
          addrB => mult_op_sel,
218
          weB   => write_result,
219
          dinB  => result_in(width-1 downto i*RAMblock_maxwidth),
220
          doutB => operand_out(width-1 downto i*RAMblock_maxwidth)
221
        );
222
        -- weA, weB part
223 69 JonasDC
        process (write_operand_i, operand_addr)
224 67 JonasDC
        begin
225
          if operand_addr(log2(width/32)-1 downto log2(RAMblock_maxwidth/32)) = conv_std_logic_vector(i,RAMselect_aw) then
226
            weA_part <= write_operand_i;
227
          else
228
            weA_part <= '0';
229
          end if;
230
        end process;
231
      end generate;
232
    end generate;
233
  end generate;
234
 
235
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.