OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [core/] [register_n.vhd] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 JonasDC
----------------------------------------------------------------------  
2
----  register_n                                                  ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9 7 JonasDC
----    n bit register with active high asynchronious reset and ce----
10 3 JonasDC
----    used in montgommery multiplier systolic array stages      ----            
11
----                                                              ---- 
12
----  Dependencies: none                                          ----
13
----                                                              ----
14
----  Authors:                                                    ----
15
----      - Geoffrey Ottoy, DraMCo research group                 ----
16
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
17
----                                                              ---- 
18
---------------------------------------------------------------------- 
19
----                                                              ---- 
20
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
21
----                                                              ---- 
22
---- This source file may be used and distributed without         ---- 
23
---- restriction provided that this copyright statement is not    ---- 
24
---- removed from the file and that any derivative work contains  ---- 
25
---- the original copyright notice and the associated disclaimer. ---- 
26
----                                                              ---- 
27
---- This source file is free software; you can redistribute it   ---- 
28
---- and/or modify it under the terms of the GNU Lesser General   ---- 
29
---- Public License as published by the Free Software Foundation; ---- 
30
---- either version 2.1 of the License, or (at your option) any   ---- 
31
---- later version.                                               ---- 
32
----                                                              ---- 
33
---- This source is distributed in the hope that it will be       ---- 
34
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
35
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
36
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
37
---- details.                                                     ---- 
38
----                                                              ---- 
39
---- You should have received a copy of the GNU Lesser General    ---- 
40
---- Public License along with this source; if not, download it   ---- 
41
---- from http://www.opencores.org/lgpl.shtml                     ---- 
42
----                                                              ---- 
43
----------------------------------------------------------------------
44 2 JonasDC
 
45 3 JonasDC
library ieee;
46
use ieee.std_logic_1164.all;
47
use ieee.std_logic_arith.all;
48
use ieee.std_logic_unsigned.all;
49
 
50 7 JonasDC
-- n-bit register with asynchronous reset and clock enable
51 2 JonasDC
entity register_n is
52 3 JonasDC
  generic(
53 15 JonasDC
    width : integer := 4
54 3 JonasDC
  );
55
  port(
56 7 JonasDC
    core_clk : in  std_logic; -- clock input
57
    ce       : in  std_logic; -- clock enable (active high)
58
    reset    : in  std_logic; -- reset (active high)
59 15 JonasDC
    din      : in  std_logic_vector((width-1) downto 0);  -- data in (width)-bit
60
    dout     : out std_logic_vector((width-1) downto 0)   -- data out (width)-bit
61 3 JonasDC
  );
62 2 JonasDC
end register_n;
63
 
64 3 JonasDC
 
65 7 JonasDC
architecture Behavorial of register_n is
66 2 JonasDC
begin
67 15 JonasDC
         -- process for (width)-bit register
68 7 JonasDC
  reg_nb : process (reset, ce, core_clk, din)
69
  begin
70
    if reset='1' then -- asynchronous active high reset
71
      dout <= (others=>'0');
72
    else
73
      if rising_edge(core_clk) then -- clock in data on rising edge
74
        if ce='1' then  -- active high clock enable to clock in data
75
          dout <= din;
76
        end if;
77
      end if;
78
    end if;
79
  end process;
80
 
81
end Behavorial;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.