OpenCores
URL https://opencores.org/ocsvn/mod_sim_exp/mod_sim_exp/trunk

Subversion Repositories mod_sim_exp

[/] [mod_sim_exp/] [trunk/] [rtl/] [vhdl/] [ram/] [dpram_asym.vhd] - Blame information for rev 94

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 66 JonasDC
----------------------------------------------------------------------  
2
----  dpram_asym                                                  ---- 
3
----                                                              ---- 
4
----  This file is part of the                                    ----
5
----    Modular Simultaneous Exponentiation Core project          ---- 
6
----    http://www.opencores.org/cores/mod_sim_exp/               ---- 
7
----                                                              ---- 
8
----  Description                                                 ---- 
9
----    behavorial description of an asymmetric dual port ram     ----
10
----    with one (wrwidth)-bit write port and one 32-bit read     ----
11
----    port. Made using the templates of xilinx and altera for   ----
12
----    asymmetric ram.                                           ----            
13
----                                                              ---- 
14
----  Dependencies: none                                          ----
15
----                                                              ----
16
----  Authors:                                                    ----
17
----      - Geoffrey Ottoy, DraMCo research group                 ----
18
----      - Jonas De Craene, JonasDC@opencores.org                ---- 
19
----                                                              ---- 
20
---------------------------------------------------------------------- 
21
----                                                              ---- 
22
---- Copyright (C) 2011 DraMCo research group and OPENCORES.ORG   ---- 
23
----                                                              ---- 
24
---- This source file may be used and distributed without         ---- 
25
---- restriction provided that this copyright statement is not    ---- 
26
---- removed from the file and that any derivative work contains  ---- 
27
---- the original copyright notice and the associated disclaimer. ---- 
28
----                                                              ---- 
29
---- This source file is free software; you can redistribute it   ---- 
30
---- and/or modify it under the terms of the GNU Lesser General   ---- 
31
---- Public License as published by the Free Software Foundation; ---- 
32
---- either version 2.1 of the License, or (at your option) any   ---- 
33
---- later version.                                               ---- 
34
----                                                              ---- 
35
---- This source is distributed in the hope that it will be       ---- 
36
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ---- 
37
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ---- 
38
---- PURPOSE.  See the GNU Lesser General Public License for more ---- 
39
---- details.                                                     ---- 
40
----                                                              ---- 
41
---- You should have received a copy of the GNU Lesser General    ---- 
42
---- Public License along with this source; if not, download it   ---- 
43
---- from http://www.opencores.org/lgpl.shtml                     ---- 
44
----                                                              ---- 
45
----------------------------------------------------------------------
46
 
47
library ieee;
48
use ieee.std_logic_1164.all;
49
use ieee.std_logic_unsigned.all;
50
use ieee.std_logic_arith.all;
51
 
52
library mod_sim_exp;
53
use mod_sim_exp.std_functions.all;
54
 
55
-- altera infers ramblocks from a depth of 9 (or 2 with any ram size recognition 
56
-- option on or contstraint below on) and wrwidth 1,2,4,8,16
57
-- xilinx infers ramblocks from a depth of 2 and wrwidth 1,2,4,8,16,32
58
entity dpram_asym is
59
  generic (
60
    rddepth : integer := 4; -- nr of 32-bit words
61
    wrwidth : integer := 2; -- write width, must be smaller than or equal to 32
62
    device  : string  := "xilinx"  -- device template to use
63
  );
64 94 JonasDC
  port (
65 66 JonasDC
    -- write port
66 94 JonasDC
    clkA   : in std_logic;
67
    waddrA : in std_logic_vector(log2((rddepth*32)/wrwidth)-1 downto 0);
68
    weA    : in std_logic;
69
    dinA   : in std_logic_vector(wrwidth-1 downto 0);
70 66 JonasDC
    -- read port
71 94 JonasDC
    clkB   : in std_logic;
72
    raddrB : in std_logic_vector(log2(rddepth)-1 downto 0);
73
    doutB  : out std_logic_vector(31 downto 0)
74 66 JonasDC
  );
75
end dpram_asym;
76
 
77
architecture behavorial of dpram_asym is
78
  -- constants
79
  constant R       : natural := 32/wrwidth; -- ratio
80
  constant wrdepth : integer := (rddepth*32)/wrwidth;
81
begin
82
 
83
  xilinx_device : if device="xilinx" generate
84
    -- the memory
85
    type ram_type is array (wrdepth-1 downto 0) of std_logic_vector (wrwidth-1 downto 0);
86 94 JonasDC
    shared variable RAM : ram_type := (others => (others => '0'));
87 66 JonasDC
 
88
    -- xilinx constraint to use blockram resources
89
    attribute ram_style : string;
90 94 JonasDC
    attribute ram_style of RAM:variable is "block";
91 66 JonasDC
  begin
92 94 JonasDC
    -- Write port A
93
    process (clkA)
94 66 JonasDC
    begin
95 94 JonasDC
      if rising_edge(clkA) then
96
        if (weA = '1') then
97
          RAM(conv_integer(waddrA)) := dinA;
98 66 JonasDC
        end if;
99 94 JonasDC
      end if;
100
    end process;
101
 
102
    -- Read port B
103
    process (clkB)
104
    begin
105
      if rising_edge(clkB) then
106 66 JonasDC
        for i in 0 to R-1 loop
107 94 JonasDC
          doutB((i+1)*wrwidth-1 downto i*wrwidth)
108
                <= RAM(conv_integer(raddrB & conv_std_logic_vector(i,log2(R))));
109 66 JonasDC
        end loop;
110
      end if;
111
    end process;
112
  end generate;
113
 
114
  altera_device : if device="altera" generate
115
    -- Use a multidimensional array to model mixed-width 
116
    type word_t is array(R-1 downto 0) of std_logic_vector(wrwidth-1 downto 0);
117
    type ram_t is array (0 to rddepth-1) of word_t;
118
 
119 94 JonasDC
    shared variable ram : ram_t;
120 66 JonasDC
    signal q_local : word_t;
121
    -- altera constraints:
122
    -- for smal depths:
123
    --  if the synthesis option "allow any size of RAM to be inferred" is on, these lines 
124
    --  may be left commented.
125
    --  uncomment this attribute if that option is off and you know wich primitives should be used.
126
    --attribute ramstyle : string;
127
    --attribute ramstyle of RAM : signal is "M9K, no_rw_check";
128
  begin
129
    unpack: for i in 0 to R - 1 generate
130 94 JonasDC
      doutB(wrwidth*(i+1) - 1 downto wrwidth*i) <= q_local(i);
131 66 JonasDC
    end generate unpack;
132
 
133 94 JonasDC
    process(clkA)
134 66 JonasDC
    begin
135 94 JonasDC
      if(rising_edge(clkA)) then
136
        if(weA = '1') then
137
          ram(conv_integer(waddrA)/R)(conv_integer(waddrA) mod R) := dinA;
138 66 JonasDC
        end if;
139
      end if;
140
    end process;
141 94 JonasDC
 
142
    process(clkB)
143
    begin
144
      if(rising_edge(clkB)) then
145
        q_local <= ram(conv_integer(raddrB));
146
      end if;
147
    end process;
148 66 JonasDC
  end generate;
149
 
150
end behavorial;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.