OpenCores
URL https://opencores.org/ocsvn/mpeg2fpga/mpeg2fpga/trunk

Subversion Repositories mpeg2fpga

[/] [mpeg2fpga/] [trunk/] [tools/] [ieee1180/] [README.koen] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 kdv
This is the testbench for the idct implementation.
2
 
3
I found an ieee1180 test program for the jpeg idct, and modified it as follows:
4
- write idct input to file
5
- execute iverilog simulation of idct
6
- idct simulation writes idct output to file
7
- read idct output from file
8
compares verilog idct implementation  with floating point idct results, and prints worst error , mean error, mean square error.
9
 
10
Use:
11
compile verilog files into idct-verilog:
12
iverilog -o idct-verilog *.v
13
compile c test program:
14
make
15
run tests:
16
./doieee ./ieeetest

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.