OpenCores
URL https://opencores.org/ocsvn/mytwoqcache/mytwoqcache/trunk

Subversion Repositories mytwoqcache

[/] [mytwoqcache/] [trunk/] [2QCache.vhd] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 gerhardhoh
----------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer: 
4
-- 
5
-- Create Date:    07:41:47 12/14/2010 
6
-- Design Name: 
7
-- Module Name:    Cache - Rtl 
8
-- Project Name: 
9
-- Target Devices: 
10
-- Tool versions: 
11
-- Description: 
12
--
13
-- Dependencies: 
14
--
15
-- Revision: 
16
-- Revision 0.01 - File Created
17
-- Additional Comments: 
18
--
19
----------------------------------------------------------------------------------
20
library IEEE, work;
21
use IEEE.std_logic_1164.all;
22
use IEEE.std_logic_arith.all;
23
use work.global.all;
24
 
25
---- Uncomment the following library declaration if instantiating
26
---- any Xilinx primitives in this code.
27
--library UNISIM;
28
--use UNISIM.VComponents.all;
29
 
30
entity Cache is
31
  generic( constant blocksizeld: integer := 11;
32
                          constant ldways: integer := 1;
33
                          constant ldCachedWords: integer := 2);
34
  port( nReset: in std_ulogic;                                          -- System reset active low
35
        Clock: in std_ulogic;                                           -- System Clock
36 10 gerhardhoh
                  AddressIn: in std_ulogic_vector(RAMrange'high + 1 downto 0);    -- Address of memory fetch
37 11 gerhardhoh
                  DataIn: in std_ulogic_vector( 31 downto 0);                     -- Data to write
38
             IOCode: in std_ulogic_vector(2 downto 0);                                           -- operation
39 10 gerhardhoh
                                                                                  -- Bit
40
                                                                                                                                                                                                --  2    0 read
41
                                                                                                                                                                                                --       1 write
42
                                                                                                                                                                                                -- 1 0   11 word
43
                                                                                                                                                                                                --       10 halfword
44
                                                                                                                                                                                                --       01 single byte
45 11 gerhardhoh
                                                                                                                                                                                                --       00 no operation
46
                  DataOut: out std_ulogic_vector( 31 downto 0);                   -- Data read
47 10 gerhardhoh
                  done: out std_ulogic;
48 11 gerhardhoh
                  -- memory interface
49
                  AddressOut: out std_ulogic_vector(RAMrange'high downto 0);        -- memory address
50
                  DataBlockIn: in std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0);   -- data from memory
51
                  reads: out std_ulogic;                                                      -- read memory
52
                  DataBlockOut: out std_ulogic_vector( 2 ** ldCachedWords * 32 - 1 downto 0); -- data to memory
53
                  Mask: out std_ulogic_vector( 2 ** ldCachedWords * 4 - 1 downto 0);          -- enables for each byte active low
54 10 gerhardhoh
                  writes: out std_ulogic;                                                     -- write memory
55 11 gerhardhoh
                  ack: in std_ulogic                                                          -- acknowledge from memory
56
                );
57
end Cache;
58
 
59 10 gerhardhoh
architecture Rtl of Cache is
60
constant ways: integer := 2 ** ldways;
61
constant ldram: integer := blocksizeld + ldways - 1;
62
constant ldqueuelength: integer := ldram;
63
 
64 11 gerhardhoh
type IOType is ( Start, busy);
65
type tType is ( inittag, startt, startt1, tagtest, tagwait, stateget, stateget1, finish, finished);
66 15 gerhardhoh
type rType is ( raminit, ramstart, ramstart1, ramread, ramread1, ramupdate,
67 11 gerhardhoh
                ramupdate1, ramupdate2, ramupdate3, ramflush, ramflush1, ramwait, ramwait1, ramclean, ramclean1);
68
type fType is ( queuestart, queuewait, queuewaitAm1, queuewaitAm2, queuewaitA11, queuewaitA12, queueelim);
69
subtype myint is natural range 15 downto 0;
70
type TagRAMType is record
71
  cacheAddr: std_ulogic_vector( ldram - 1 downto 0);
72
  cacheValid: std_ulogic;
73
  Tag: std_ulogic_vector( RAMrange'high downto 2 + ldCachedWords + blocksizeld);
74
  TagValid: std_ulogic;
75 10 gerhardhoh
end record;
76
type WordType is record
77 11 gerhardhoh
  Word: std_ulogic_vector(31 downto 0);
78 10 gerhardhoh
  Modified: std_ulogic_vector( 3 downto 0);
79
end record;
80 11 gerhardhoh
type WordArray is array ( 2 ** ldCachedWords - 1 downto 0) of WordType;
81
type CacheType is record
82 10 gerhardhoh
  Words: WordArray;
83
  FiFoaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
84 11 gerhardhoh
  Am: std_ulogic;                                                        -- redifined and renamed
85
end record;
86 10 gerhardhoh
type FiFoType is record
87
  Word: std_ulogic_vector( blocksizeld - 1 downto 0);
88
  way: std_ulogic_vector( ldways downto 0);
89
  valid: std_ulogic;
90
end record;
91
 
92
type TagRAMarray is array ( ways - 1 downto 0) of TagRAMType;
93
type TagBuffer is array ( ways - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
94
type TagFile is array ( 2 ** blocksizeld - 1 downto 0) of std_ulogic_vector( RAMrange'high - ldCachedWords - blocksizeld - 2 + ldram + 2 downto 0);
95
type TagFiles is array ( ways - 1 downto 0) of TagFile;
96
 
97
type RAMFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( 35 downto 0);
98
type RAMFiles is array ( 2 ** ldCachedWords - 1 downto 0) of RAMFile;
99
type RAMBuffer is array ( 2 ** ldCachedWords - 1 downto 0) of std_ulogic_vector( 35 downto 0);
100 11 gerhardhoh
type AFile is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldqueuelength downto 0); -- redimensioned
101 10 gerhardhoh
 
102
type myarrayf is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( ldram - 1 downto 0);
103
type myarrayA is array ( 2 ** ldram - 1 downto 0) of std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
104
 
105
signal RAMs: RAMFiles;
106
signal Ax: AFile;
107 11 gerhardhoh
signal tagRAM: TagFiles;
108 10 gerhardhoh
signal tagdummy, tagBuff, TagRAMIn, TagRAMOut: TagRAMarray;
109
signal RecBuff, CacheIn, CacheOut: CacheType;
110
signal blockIn, blockOut: WordArray;
111
signal DataInh: std_ulogic_vector( 31 downto 0);
112
signal A1In, A1Out, AmIn, AmOut: FiFoType;
113
signal putA1, removeA1, getA1, emptyA1, fullA1: std_ulogic;
114
signal putAm, removeAm, getAm, emptyAm, fullAm: std_ulogic;
115
signal A1Inaddr, A1Outaddr, AmInaddr, AmOutaddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
116
signal emptyf, getf, putf: std_ulogic;
117 11 gerhardhoh
signal cindex, FreeOut, FreeIn: std_ulogic_vector( ldram - 1 downto 0);
118
signal ramf: myarrayf;
119
signal counterf: unsigned( ldram downto 0);
120 10 gerhardhoh
signal firstf, lastf: unsigned( ldram - 1 downto 0);
121
signal newFiFoAddr: std_ulogic_vector( ldqueuelength - 1 downto 0);
122 11 gerhardhoh
signal newAm: std_ulogic;  -- redifined and renamed
123 10 gerhardhoh
signal initcount: unsigned( blocksizeld - 1 downto 0);
124
signal initcount1: unsigned( ldram - 1 downto 0);
125 11 gerhardhoh
signal ramA1: myarrayA;
126
signal counterA1: unsigned( ldqueuelength downto 0);
127
signal firstA1, lastA1: unsigned( ldqueuelength - 1 downto 0);
128
signal ramAm: myarrayA;
129
signal counterAm: unsigned( ldqueuelength downto 0);
130
signal firstAm, lastAm: unsigned( ldqueuelength - 1 downto 0);
131 10 gerhardhoh
 
132
signal AddressInh: std_ulogic_vector( AddressIn'high -1 downto 0);
133 11 gerhardhoh
signal IOCodeh: std_ulogic_vector( IOCode'range);
134
signal toFlush, AddressInt: std_ulogic_vector( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
135
signal found, free, elim, del: myint;
136 10 gerhardhoh
signal stateIO: IOType;
137
signal statetag: tType;
138
signal stateram: rType;
139
signal statequeue: fType;
140
signal enableram, enablequeue, queuedone, readsh, writesh, doneh, preempted,
141 13 gerhardhoh
       interrupt, readb, writeb, writec, writet, accdone, accqueue, accinterrupt, serviced, oldint: std_ulogic;
142 15 gerhardhoh
signal gal: std_ulogic_vector( 7 downto 0);
143 11 gerhardhoh
 
144
begin
145 10 gerhardhoh
 
146 11 gerhardhoh
 
147
 
148 10 gerhardhoh
  blockIO: process( nReset, Clock, readb, writeb) is
149
  variable s: std_ulogic;
150
  begin
151
    if nReset /= '1' then
152
           writesh <= '0';
153
                readsh <= '0';
154
                stateIO <= start;
155
    elsif rising_edge(Clock) then
156
           case stateIO is
157
                when start =>
158
                  if readb = '1' then
159
                         Mask <= ( others => '1');
160
                         readsh <= '1';
161
                    stateIO <= busy;
162
                  elsif writeb = '1' then
163
                    s := '0';
164
 
165
                    for i in blockOut'range loop
166
                      DataBlockOut( ( i + 1) * 32 - 1 downto i * 32) <= blockOut( i).word;
167
                           Mask( ( i + 1) * 4 - 1 downto i * 4) <= not blockOut( i).Modified;
168
                                s := s or blockOut( i).Modified(0) or blockOut( i).Modified(1) or
169
                                          blockOut( i).Modified(2) or blockOut( i).Modified(3);
170
                         end loop;
171
 
172
                         writesh <= s;
173
 
174
                         if s = '1' then
175
                      stateIO <= busy;
176
                         end if;
177
                  end if;
178
                when busy =>
179
                  if ack = '1' then
180
                    stateIO <= start;
181
 
182
                    if readsh = '1' then
183
                           for i in blockIn'range loop
184
                        blockIn( i).word <= DataBlockIn( ( i + 1) * 32 - 1 downto i * 32);
185
                                  blockIn( i).Modified <= ( others => '0');
186
                                end loop;
187
                    end if;
188
 
189
                    readsh <= '0';
190
                    writesh <= '0';
191
                  end if;
192
                end case;
193
         end if;
194
  end process blockIO;
195
 
196
  writes <= writesh;
197
  reads <= readsh;
198
 
199
  tagrams: process ( nReset, Clock) is
200
  variable a, b, d: myint;
201 11 gerhardhoh
  variable DataInTag, DataOutTag: TagBuffer;
202 10 gerhardhoh
  begin
203
  if rising_edge(Clock) then
204
    if nReset /= '1' then
205
           statetag <= inittag;
206
                writet <= '0';
207
                enableram <= '0';
208 13 gerhardhoh
           oldint <= '0';
209 10 gerhardhoh
                found <= 15;
210
                free <= 15;
211
                done <= '0'; -- NEW
212
                initcount <= ( others => '0');
213
                AddressInt <= ( others => '0');
214
                IOCodeh <= ( others => '0');
215
                AddressInh <= ( others => '0');
216 15 gerhardhoh
           gal <= ( others => '1');
217 10 gerhardhoh
         else
218 15 gerhardhoh
            gal <= gal( 6 downto 4) & ( gal( 3) xor gal( 7)) & ( gal( 2) xor gal( 7)) & ( gal( 1) xor gal( 7)) & gal( 0) & gal( 7);
219 13 gerhardhoh
         oldint <= interrupt;
220 10 gerhardhoh
           case statetag is
221
                  when inittag =>
222
                    for i in tagRAMIn'range loop
223
                           tagRAMIn(i).tagValid <= '0';
224
                           tagRAMIn(i).tag <= ( others => '0');
225
                           tagRAMIn(i).cacheValid <= '0';
226
                           tagRAMIn(i).cacheAddr <= ( others => '0');
227
                         end loop;
228
                         AddressInt <= std_ulogic_vector(initcount);
229
                         initcount <= initcount + 1;
230
                         if unsigned( not AddressInt) = 0 then
231
                      statetag <= startt;
232
                           writet <= '0';
233
                         else
234
                           writet <= '1';
235
                         end if;
236
                  when startt =>
237
                    if IOCode( 1 downto 0) /= "00" and AddressIn( AddressIn'high) = '0' then
238
                      -- request encountered
239
                                AddressInh <= AddressIn(AddressInh'range);
240 11 gerhardhoh
                                IOCodeh <= IOCode;
241 10 gerhardhoh
                      AddressInt <= AddressIn( AddressInt'range);
242
                                DataInh <= DataIn;
243
                      statetag <= startt1;
244
                    end if;
245
                  when startt1 =>
246
                    statetag <= tagtest;
247
                  when tagtest =>
248 11 gerhardhoh
          a := 15;
249 10 gerhardhoh
                    b := 15;
250 11 gerhardhoh
 
251
               for i in 0 to TagRAMarray'high loop
252
                      if tagRAMOut( i).tagValid = '1' then
253
                   if AddressInh(tagRAMout( i).tag'range) = tagRAMout( i).tag then
254 10 gerhardhoh
                          a := i; -- present
255 11 gerhardhoh
                                  end if;
256
                      else
257
                             b := i; -- free entry
258
                      end if;
259
               end loop;
260 10 gerhardhoh
 
261 11 gerhardhoh
                    found <= a;
262
                    free <= b;
263 15 gerhardhoh
 
264
               if ways  = 1 then
265
                 elim <= 0;
266
               else
267 16 gerhardhoh
                 elim <= to_integer( gal( ldways - 1 downto 0));
268 15 gerhardhoh
               end if;
269 16 gerhardhoh
 
270 10 gerhardhoh
                    if stateram = ramstart then
271
                      enableram <= '1';
272
                      statetag <= tagwait;
273
                         end if;
274
                  when tagwait =>
275
                    writet <= '0';
276
 
277 13 gerhardhoh
                    if interrupt = '1' and oldint = '0' then
278 10 gerhardhoh
                      enableram <= '0';
279
                           AddressInt <= toFlush;
280
                                statetag <= stateget;
281
                         elsif queuedone = '1' then
282
                      enableram <= '0';
283
                           statetag <= finish;
284
                         end if;
285
                  when stateget =>
286
                         statetag <= stateget1;
287
                  when stateget1 =>
288
                    enableram <= '1';
289
                         tagDummy <= tagRAMOut;
290
 
291
                         for i in tagRAMIn'range loop
292
                           if del = i then
293
                        tagRAMIn( i).tagvalid <= '0';
294
                             tagRAMIn( i).cacheValid <= '0';
295
                             tagRAMIn( i).tag <= ( others => '0');
296
                             tagRAMIn( i).cacheAddr <= ( others => '0');
297
                                  writet <= '1';
298
                           else
299
                             tagRAMIn( i) <= tagRAMOut( i);
300
                           end if;
301
                         end loop;
302
 
303
                         statetag <= tagwait;
304
                  when finish =>
305
                    if doneh = '1' then
306
                           tagRAMIn <= tagBuff;
307
                                writet <= '1';
308
                      AddressInt <= AddressInh( AddressInt'range);
309
                                done <= '1';
310
                      statetag <= finished;
311
                    end if;
312
                  when finished => -- NEW
313
                    writet <= '0';
314
                    done <= '0';
315
                    statetag <= startt;
316
                end case;
317
 
318
         for i in tagRAM'range loop
319
      DataInTag( i) := TagRAMIn( i).TagValid & TagRAMIn( i).Tag & TagRAMIn( i).cacheValid & TagRAMIn( i).cacheAddr;
320
 
321
           if writet = '1' then
322
                  tagRAM(i)(to_integer( AddressInt)) <= DataInTag( i);
323
                else
324
                  DataOutTag( i) := tagRAM(i)(to_integer( AddressInt));
325
 
326
             TagRAMOut( i).cacheAddr <= DataOutTag( i)( ldram - 1 downto 0);
327
             TagRAMOut( i).cacheValid <= DataOutTag( i)( ldram);
328
             TagRAMOut( i).Tag <= DataOutTag( i)( DataOutTag( 0)'high - 1 downto ldram + 1);
329
             TagRAMOut( i).TagValid <= DataOutTag( i)( DataOutTag( 0)'high);
330
                end if;
331
         end loop;
332
         end if;
333
  end if;
334
  end Process tagrams;
335
 
336
  dataram: process (nReset, Clock, enableram) is
337
  variable en, acc, hi: std_ulogic;
338
  variable f, g: std_ulogic_vector( CacheIn.FiFoAddr'length downto 0);
339
  variable a, b: RAMBuffer;
340
  variable index, index1: integer;
341
 
342 11 gerhardhoh
  variable address: std_ulogic_vector( ldram - 1 downto 0);
343
  variable uaddress: unsigned( ldram - 1 downto 0);
344 10 gerhardhoh
  variable datum:  std_ulogic_vector( FreeIn'range);
345 11 gerhardhoh
  variable w: std_ulogic;
346 10 gerhardhoh
  begin
347
  if rising_edge(Clock) then
348
    if nReset /= '1' then
349
           enablequeue <= '0';
350
           stateram <= raminit;
351
                writec <= '0';
352
                writeb <= '0';
353
                readb <= '0';
354
                getf <= '0';
355
                putf <= '0'; -- NEW inserted
356
                doneh <= '0';
357
                accinterrupt <= '0';
358
                accqueue <= '0';
359
                initcount1 <= ( others => '0');
360
                FreeIn <= ( others => '0');
361 11 gerhardhoh
                firstf <= ( others => '0');
362
                lastf <= ( others => '0');
363
                counterf <= ( others => '0');
364 10 gerhardhoh
         else
365 13 gerhardhoh
           hi := accinterrupt or (interrupt and not oldint);
366 10 gerhardhoh
                acc := accqueue or queuedone;
367 13 gerhardhoh
                en := enablequeue and not acc;
368 10 gerhardhoh
 
369
                if ldCachedWords = 0 then
370
                  index := 0;
371
                else
372
                  index := to_integer( AddressInh( ldCachedWords + 1 downto 2));
373
                end if;
374
 
375
           case stateram is
376
                  when raminit =>
377
                         FreeIn <= std_ulogic_vector( initcount1);
378
          initcount1    <= initcount1 + 1;
379
 
380
                         if unsigned( not FreeIn) = 0 then
381
                           stateram <= ramstart;
382
                           putf <= '0';
383
                         else
384
                           putf <= '1';
385
                         end if;
386
                  when ramstart =>
387
                    if enableram = '1' then -- UPDATE
388
                           tagBuff <= tagRAMOut;
389
                                stateram <= ramstart1;
390 11 gerhardhoh
                         end if;
391 10 gerhardhoh
                  when ramstart1 =>
392
                    if enableram = '1' then
393
                                if found /= 15 then
394
                                  cindex <= tagBuff( found).cacheAddr;
395
                                  stateram <= ramupdate;
396
                                elsif free /= 15 then
397
                                  en := '1';
398
                                  stateram <= ramwait;
399
                                else
400 15 gerhardhoh
                                  cindex <= tagBuff( elim).cacheAddr;
401
                                  stateram <= ramupdate;
402 10 gerhardhoh
                                end if;
403
                         end if;
404
                  when ramupdate =>
405
                    stateram <= ramupdate1;
406
                  when ramupdate1 =>
407
                    cacheIn <= cacheOut;
408
                         blockOut <= cacheOut.Words;
409
                         RecBuff <= cacheOut;
410
                         en := '1';
411
                         stateram <= ramwait;
412
                  when ramwait =>
413
                         doneh <= '0';
414
 
415
                    if hi = '1' then
416
                                stateram <= ramwait1;
417
                         elsif acc = '1' then
418
                           if found /= 15 then
419
                                  cindex <= tagBuff( found).cacheAddr;
420
                                  cacheIn <= RecBuff;
421
                                  blockOut <= RecBuff.Words;
422
                                  stateram <= ramupdate2;
423
                                elsif free /= 15 then
424
                                  cindex <= FreeOut;
425
                                  tagBuff( free).cacheAddr <= FreeOut;
426
                                  tagBuff( free).cacheValid <= '1';
427
                                  tagBuff( free).tag <= AddressInh( tagBuff( free).tag'range);
428
                                  tagBuff( free).tagValid <= '1';
429
                                  getf <= '1';
430
                                  if IOCodeh = "111" and ldCachedWords = 0 then
431
                                    stateram <= ramupdate2;
432
                                  else
433
                                    readb <= '1';
434
                               AddressOut <= AddressInh( AddressOut'range);
435
                                    stateram <= ramread;
436
                                  end if;
437
                                else
438
                                  cindex <= tagBuff( elim).cacheAddr;
439
                                  cacheIn <= RecBuff;
440
                                  blockOut <= RecBuff.Words;
441
                                  AddressOut <= tagBuff( elim).tag & AddressInh( AddressInt'range) & ( ldCachedWords + 1 downto 0 => '0');
442
                        writeb <= '1';
443
                                  stateram <= ramflush;
444
                                end if;
445
                         end if;
446
                  when ramwait1 =>
447
                         if del /= 15 and enableram = '1' then
448 11 gerhardhoh
                           if toflush = AddressInh( toflush'range) then -- inserted, tagline could match flushing tagline !!!!
449 10 gerhardhoh
                        tagBuff( del).tagvalid <= '0';
450
                             tagBuff( del).cacheValid <= '0';
451
                             tagBuff( del).tag <= ( others => '0');
452
                             tagBuff( del).cacheAddr <= ( others => '0');
453
                                end if;
454
                           cindex <= tagdummy( del).cacheAddr;
455
                                FreeIn <= tagdummy( del).cacheAddr;
456
                                putf <= tagdummy( del).cacheValid;
457
                           stateram <= ramclean;
458
                         end if;
459
                  when ramread =>
460
                    readb <= '0';
461
                         getf <= '0';
462
                    stateram <= ramread1;
463
                  when ramread1 =>
464
                    if readsh = '0' then
465
                           for i in blockIn'range loop
466
                                  cacheIn.Words( i) <= blockIn( i);
467
                                end loop;
468
                      stateram <= ramupdate2;
469
                         end if;
470
                  when ramupdate2 =>
471
                    if IOCodeh(2) = '1' then
472
                           if IOCodeh(1) = '1' then
473
                                  If IOCodeh(0) = '1' then
474
                                    cacheIn.Words( index).Word <= DataInh;
475
                                         cacheIn.Words( index).Modified <= "1111";
476
                                  elsif AddressInh(1) = '1' then
477
                                    cacheIn.Words( index).Word( 31 downto 16) <= DataInh( 15 downto 0);
478
                                         cacheIn.Words( index).Modified( 3 downto 2) <= "11";
479
                                  else
480
                                    cacheIn.Words( index).Word( 15 downto 0) <= DataInh( 15 downto 0);
481
                                         cacheIn.Words( index).Modified( 1 downto 0) <= "11";
482
                                  end if;
483
                                else
484
                                  if AddressInh(1) = '0' then
485
                                    if AddressInh(0) = '0' then
486
                                           cacheIn.Words( index).Word( 7 downto 0) <= DataInh( 7 downto 0);
487
                                                cacheIn.Words( index).Modified(0) <= '1';
488
                                    else
489
                                           cacheIn.Words( index).Word( 15 downto 8) <= DataInh( 7 downto 0);
490
                                                cacheIn.Words( index).Modified(1) <= '1';
491
                                         end if;
492
                                  else
493
                                    if AddressInh(0) = '0' then
494
                                           cacheIn.Words( index).Word( 23 downto 16) <= DataInh( 7 downto 0);
495
                                                cacheIn.Words( index).Modified(2) <= '1';
496
                                    else
497
                                           cacheIn.Words( index).Word( 31 downto 24) <= DataInh( 7 downto 0);
498
                                                cacheIn.Words( index).Modified(3) <= '1';
499
                                         end if;
500
                                  end if;
501
                                end if;
502
                         else
503
                           DataOut <= cacheIn.Words( index).Word;
504
                         end if;
505
 
506
                         cacheIn.FiFoAddr <= newFiFoAddr;
507
                         cacheIn.Am <= newAm;
508
 
509
                         getf <= '0';
510
                         writec <= '1';
511
                         doneh <= '1';
512
 
513
                         stateram <= ramupdate3;
514
                  when ramupdate3 =>
515
                    hi := '0';
516
                         acc := '0';
517
                         en := '0';
518
                         writec <= '0';
519
                    doneh <= '0';
520
                         stateram <= ramstart;
521
                  when ramclean =>
522
                    putf <= '0';
523
                    stateram <= ramclean1;
524
                  when ramclean1 =>
525
                         if del /= 15 then
526
                           blockOut <= cacheOut.words;
527
                                writeb <= tagdummy( del).tagValid;
528
                                AddressOut <= tagdummy( del).tag & toFlush & ( ldCachedWords + 1 downto 0 => '0');
529
                           stateram <= ramflush;
530
                         end if;
531
                  when ramflush =>
532
                    writeb <= '0';
533
                         for i in blockIn'range loop
534
                      cacheIn.Words( i).Word <= ( others => '0');
535
                           cacheIn.Words( i).Modified <= ( others => '0');
536
                         end loop;
537
 
538
                         stateram <= ramflush1;
539
                  when ramflush1 =>
540
                         if writesh = '0' then
541
                           if del /= 15 and hi = '1' then
542
                                  hi := '0';
543
                             stateram <= ramwait;
544
                                else
545
                                  tagBuff( elim).tag <= AddressInh( tagBuff( elim).tag'range);
546
                                  tagBuff( elim).tagValid <= '1';
547
                                  if IOCodeh = "111" and ldCachedWords = 0 then
548
                                    stateram <= ramupdate2;
549
                                  else
550
                                    readb <= '1';
551
                                    AddressOut <= AddressInh( AddressOut'range);
552
                                    stateram <= ramread;
553
                                  end if;
554
                                end if;
555
                         end if;
556
                end case;
557
 
558
                accinterrupt <= hi;
559
                enablequeue <= en;
560
                accqueue <= acc;
561
 
562
         f := CacheIn.Am & CacheIn.FiFoAddr;
563
         if writec = '1' then
564
           Ax( to_integer( cindex)) <= f;
565
         else
566
           g := Ax( to_integer( cindex));
567
                CacheOut.FiFoAddr <= g( g'high - 1 downto g'low);
568
                CacheOut.Am <= g( g'high);
569
         end if;
570
 
571
         for i in RAMBuffer'range loop
572
           a( i) := CacheIn.Words( i).Modified & CacheIn.Words( i).Word;
573
                if writec = '1' then
574
                  RAMs( i)( to_integer( cindex)) <= a( i);
575
                else
576
                  b( i) := RAMs( i)( to_integer( cindex));
577
                  CacheOut.Words( i).Word <= b( i)( 31 downto 0);
578
                  CacheOut.Words( i).Modified <= b( i)( 35 downto 32);
579
                end if;
580
         end loop;
581
 
582 11 gerhardhoh
         if putf = '1' then
583
           address := std_ulogic_vector( firstf);
584
                datum := FreeIn;
585
                firstf <= firstf + 1;
586
                counterf <= counterf + 1;
587 10 gerhardhoh
                w := '1';
588
         else
589 11 gerhardhoh
           uaddress := lastf;
590
           if getf = '1' and counterf /= 0 then
591 10 gerhardhoh
             counterf <= counterf - 1;
592 11 gerhardhoh
                  uaddress := uaddress + 1;
593 10 gerhardhoh
           end if;
594 11 gerhardhoh
                lastf <= uaddress;
595 10 gerhardhoh
                address := std_ulogic_vector( uaddress);
596 11 gerhardhoh
                w := '0';
597
         end if;
598 10 gerhardhoh
 
599
         if w = '1' then
600 11 gerhardhoh
           ramf( to_integer( address)) <= datum;
601 10 gerhardhoh
         else
602 11 gerhardhoh
           FreeOut <= ramf( to_integer( address));
603
         end if;
604 10 gerhardhoh
 
605
         end if;
606
  end if;
607
  end process dataram;
608
 
609
  emptyf <= '1' when counterf = 0 else '0';
610
 
611
  queues: process( nReset, Clock, enablequeue) is
612
  variable acc, hi: std_ulogic;
613
  variable A1OutBuff, AmOutBuff: std_ulogic_vector( blocksizeld + ldways + 1 downto 0);
614 11 gerhardhoh
  variable addressA1: std_ulogic_vector( ldqueuelength - 1 downto 0);
615
  variable diff, uaddressA1: unsigned( ldqueuelength - 1 downto 0);
616 10 gerhardhoh
  variable datumA1:  std_ulogic_vector( A1OutBuff'range);
617 11 gerhardhoh
  variable wA1: std_ulogic;
618
  variable addressAm: std_ulogic_vector( ldqueuelength - 1 downto 0);
619
  variable uaddressAm: unsigned( ldqueuelength - 1 downto 0);
620 10 gerhardhoh
  variable datumAm:  std_ulogic_vector( AmOutBuff'range);
621 11 gerhardhoh
  variable wAm: std_ulogic;
622 10 gerhardhoh
  begin
623
  if rising_edge(Clock) then
624
    if nReset /= '1' then
625
                del <= 15;
626
           statequeue <= queuestart;
627
           queuedone <= '0';
628
                interrupt <= '0';
629
                accdone <= '0';
630
                preempted <= '0';
631 11 gerhardhoh
                firstA1 <= ( others => '0');
632
                A1Outaddr <= ( others => '0');
633
                lastA1 <= ( others => '0');
634 10 gerhardhoh
                counterA1 <= ( others => '0');
635 11 gerhardhoh
                firstAm <= ( others => '0');
636
                AmOutaddr <= ( others => '0');
637
                lastAm <= ( others => '0');
638 10 gerhardhoh
                counterAm <= ( others => '0');
639
                getA1 <= '0'; -- NEW
640
                getAm <= '0'; -- NEW
641
                removeA1 <= '0'; -- NEW
642
                removeAm <= '0'; -- NEW
643
                putA1 <= '0'; -- NEW
644 11 gerhardhoh
                putAm <= '0'; -- NEW
645 13 gerhardhoh
           serviced <= '0';
646 10 gerhardhoh
         else
647 13 gerhardhoh
           hi := interrupt;
648 10 gerhardhoh
                acc := accdone or doneh;
649
 
650
                diff := firstA1 - unsigned( RecBuff.FiFoAddr);
651
 
652
           case statequeue is
653
                  when queuestart =>
654
                         getA1 <= '0';
655
 
656
                    if enablequeue = '1' then
657
                           if found /= 15 then
658
                                  if RecBuff.Am = '1' or                                -- in Am
659
                                    ( RecBuff.Am = '0' and diff( diff'high) = '0') then -- in lower half of A1
660
                                    queuedone <= '1';
661
                                         newFiFoAddr <= RecBuff.FiFoAddr;
662
                                         newAm <= RecBuff.Am;
663
                               statequeue <= queuewait;
664
                                  elsif fullAm = '1' then
665
                                    -- Am full
666
                                         if AmOut.valid = '1' then
667
                                           del <= to_integer( AmOut.way);
668
                                                toFlush <= AmOut.word;
669
                                                getAm <= '1';
670
                                           hi := '1';
671
                                           statequeue <= queuewait;
672
                                         end if;
673
                                  else
674
                                    AmIn.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
675
                                         AmIn.way <= std_ulogic_vector(to_unsigned( found, ldways + 1));
676
                                         AmIn.valid <= '1';
677
                                         putAm <= '1';
678
                                         A1Inaddr <= RecBuff.FiFoAddr;
679
                                         removeA1 <= '1';
680
                                         statequeue <= queuewaitAm1;
681
                                  end if;
682
                                elsif free /= 15 then
683 13 gerhardhoh
                                  if fullA1 = '1' or (emptyf = '1' and emptyA1 = '0' and serviced = '0') then
684 10 gerhardhoh
                                    -- remove last entry from A1
685
                                         if A1Out.valid = '1' then
686
                                           del <= to_integer( A1Out.way);
687
                                           toFlush <= A1Out.word;
688
                                           getA1 <= '1';
689
                                           hi := '1';
690 13 gerhardhoh
                              serviced <= '1';
691 10 gerhardhoh
                                           statequeue <= queuewait;
692
                                         end if;
693 13 gerhardhoh
                                  elsif fullAm = '1' and emptyf = '1' and serviced = '0' then
694 10 gerhardhoh
                                    -- remove last entry from Am
695
                                         if AmOut.valid = '1' then
696
                                           del <= to_integer( AmOut.way);
697
                                           toFlush <= AmOut.word;
698
                                           getAm <= '1';
699
                                           hi := '1';
700 13 gerhardhoh
                              serviced <= '1';
701 10 gerhardhoh
                                           statequeue <= queuewait;
702
                                         end if;
703
                                  else
704
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
705
                                         A1In.way <= std_ulogic_vector(to_unsigned( free, ldways + 1));
706
                                         A1In.valid <= '1';
707
                                         putA1 <= '1';
708 13 gerhardhoh
                            serviced <= '0';
709 10 gerhardhoh
                                         statequeue <= queuewaitA11;
710
                                  end if;
711
                                elsif elim /= 15 then
712
                                  if fullA1 = '1' then
713
                                    if A1Out.valid = '1' then
714
                                           if not ( to_integer( A1Out.way) = elim and
715
                                                        A1Out.word = AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords)) then
716
                                             del <= to_integer( A1Out.way);
717
                                             toFlush <= A1Out.word;
718
                                             statequeue <= queueelim;
719
                                           end if;
720
 
721
                                           getA1 <= '1';
722
                                         end if;
723
                                  else
724 12 gerhardhoh
                            if getA1 = '1' then
725
                              preempted <= '1';
726
                            end if;
727 10 gerhardhoh
                                         getA1 <= '0'; -- NEW, inserted the only bug!!!!!!!!!!!!!!
728
                                    A1In.word <= AddressInh( 2 + ldCachedWords + blocksizeld - 1 downto 2 + ldCachedWords);
729
                                         A1In.way <= std_ulogic_vector(to_unsigned( elim, ldways + 1));
730
                                         A1In.valid <= '1';
731
                                         putA1 <= '1';
732
                                         statequeue <= queueelim;
733
                                  end if;
734
                                end if;
735
                         end if;
736
                  when queuewait =>
737
                         removeA1 <= '0';
738
                         removeAm <= '0';
739
                    getAm <= '0';
740
                    getA1 <= '0';
741 14 gerhardhoh
                         queuedone <= '0';
742 10 gerhardhoh
 
743 13 gerhardhoh
             if hi = '1' then
744
                   hi := '0';
745
                           statequeue <= queuestart;
746
               elsif acc = '1' then
747 10 gerhardhoh
                           acc := '0';
748
                                del <= 15;
749
                           statequeue <= queuestart;
750
                         end if;
751
                  when queuewaitAm1 =>
752
                    putAm <= '0';
753
                         removeA1 <= '0';
754
                         statequeue <= queuewaitAm2;
755
                  when queuewaitAm2 =>
756
                         newFiFoAddr <= AmOutAddr;
757
                         newAm <= '1';
758
                         queuedone <= '1';
759
                         statequeue <= queuewait;
760
                  when queuewaitA11 =>
761
                    putA1 <= '0';
762
                         statequeue <= queuewaitA12;
763
                  when queuewaitA12 =>
764
                         newFiFoAddr <= A1OutAddr;
765
                         newAm <= '0';
766
                         removeA1 <= '0';
767
                         removeAm <= '0';
768
                         queuedone <= '1';
769
                    preempted <= '0';
770
                         statequeue <= queuewait;
771
                  when queueelim =>
772
                    putA1 <= '0';
773
                         getA1 <= '0';
774
 
775
                         if RecBuff.Am = '1' and preempted = '0' then
776
                           AmInAddr <= RecBuff.FiFoAddr;
777
                           removeAm <= '1';
778
                         elsif preempted = '0' then
779
                           A1InAddr <= RecBuff.FiFoAddr;
780
                           removeA1 <= '1';
781
                         end if;
782
 
783
                         if getA1 = '1' then
784
                           hi := '1';
785
                                preempted <= '1';
786
                           statequeue <= queuewait;
787
                         else
788
                           statequeue <= queuewaitA12;
789
                         end if;
790
                end case;
791
 
792
                interrupt <= hi;
793
                accdone <= acc;
794
 
795 11 gerhardhoh
         if putA1 = '1' or removeA1 = '1' then
796
           if removeA1 = '0' then
797
             addressA1 := std_ulogic_vector( firstA1);
798 10 gerhardhoh
                  datumA1 := A1In.valid & A1In.way & A1In.Word;
799 11 gerhardhoh
                  firstA1 <= firstA1 + 1;
800
                  counterA1 <= counterA1 + 1;
801
                  A1Outaddr <= std_ulogic_vector( firstA1);
802
                else
803
                  addressA1 := A1Inaddr( addressA1'range);
804
                  datumA1 := ( others => '0');
805 10 gerhardhoh
                end if;
806 11 gerhardhoh
                wA1 := '1';
807
         else
808
           uaddressA1 := lastA1;
809
           if (getA1 = '1' or A1Out.valid = '0') and counterA1 /= 0 then
810
             counterA1 <= counterA1 - 1;
811
             uaddressA1 := uaddressA1 + 1;
812 10 gerhardhoh
           end if;
813
           lastA1 <= uaddressA1;
814
           addressA1 := std_ulogic_vector( uaddressA1);
815 11 gerhardhoh
           wA1 := '0';
816 10 gerhardhoh
         end if;
817
 
818
         if wA1 = '1' then
819 11 gerhardhoh
           ramA1( to_integer( addressA1)) <= datumA1;
820 10 gerhardhoh
         else
821 11 gerhardhoh
           A1OutBuff := ramA1( to_integer( addressA1));
822 10 gerhardhoh
 
823
      A1Out.Word <= A1OutBuff( blocksizeld - 1 downto 0);
824
      A1Out.way <= A1OutBuff( blocksizeld + ldways downto blocksizeld);
825
                A1Out.valid <= A1OutBuff( blocksizeld + ldways + 1);
826 11 gerhardhoh
         end if;
827 10 gerhardhoh
 
828 11 gerhardhoh
         if putAm = '1' or removeAm = '1' then
829
           if removeAm = '0' then
830
             addressAm := std_ulogic_vector( firstAm);
831 10 gerhardhoh
                  datumAm := AmIn.valid & AmIn.way & AmIn.Word;
832 11 gerhardhoh
                  firstAm <= firstAm + 1;
833
                  counterAm <= counterAm + 1;
834
                  AmOutaddr <= std_ulogic_vector( firstAm);
835
                else
836
                  addressAm := AmInaddr( addressAm'range);
837
                  datumAm := ( others => '0');
838 10 gerhardhoh
                end if;
839 11 gerhardhoh
                wAm := '1';
840
         else
841
           uaddressAm := lastAm;
842
           if (getAm = '1' or AmOut.valid = '0') and counterAm /= 0 then
843
             counterAm <= counterAm - 1;
844
             uaddressAm := uaddressAm + 1;
845 10 gerhardhoh
           end if;
846
           lastAm <= uaddressAm;
847
           addressAm := std_ulogic_vector( uaddressAm);
848 11 gerhardhoh
           wAm := '0';
849 10 gerhardhoh
         end if;
850 11 gerhardhoh
 
851 10 gerhardhoh
         if wAm = '1' then
852 11 gerhardhoh
           ramAm( to_integer( addressAm)) <= datumAm;
853 10 gerhardhoh
         else
854
           AmOutBuff := ramAm( to_integer( addressAm));
855 11 gerhardhoh
 
856 10 gerhardhoh
      AmOut.Word <= AmOutBuff( blocksizeld - 1 downto 0);
857
      AmOut.way <= AmOutBuff( blocksizeld + ldways downto blocksizeld);
858
                AmOut.valid <= AmOutBuff( blocksizeld + ldways + 1);
859
         end if;
860 11 gerhardhoh
         end if;
861 10 gerhardhoh
  end if;
862
  end process queues;
863
 
864
  fullA1 <= counterA1( counterA1'high);
865 11 gerhardhoh
  emptyA1 <= '1' when counterA1 = 0 else '0';
866 10 gerhardhoh
 
867
  fullAm <= counterAm( counterAm'high);
868 11 gerhardhoh
  emptyAm <= '1' when counterAm = 0 else '0';
869 10 gerhardhoh
 
870 11 gerhardhoh
end Rtl;
871
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.