OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

[/] [neo430/] [trunk/] [neo430/] [rtl/] [core/] [neo430_application_image.vhd] - Blame information for rev 198

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 198 zero_gravi
-- The NEO430 Processor Project, by Stephan Nolting
2
-- Auto-generated memory init file (for APPLICATION)
3
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neo430_application_image is
8
 
9
  type application_init_image_t is array (0 to 65535) of std_ulogic_vector(15 downto 0);
10
  constant application_init_image : application_init_image_t := (
11
    000000 => x"4038",
12
    000001 => x"c000",
13
    000002 => x"4211",
14
    000003 => x"fffa",
15
    000004 => x"4302",
16
    000005 => x"5801",
17
    000006 => x"8321",
18
    000007 => x"40b2",
19
    000008 => x"4700",
20
    000009 => x"ffb8",
21
    000010 => x"4039",
22
    000011 => x"ff80",
23
    000012 => x"9309",
24
    000013 => x"2404",
25
    000014 => x"4389",
26
    000015 => x"0000",
27
    000016 => x"5329",
28
    000017 => x"3ffa",
29
    000018 => x"9801",
30
    000019 => x"2404",
31
    000020 => x"4388",
32
    000021 => x"0000",
33
    000022 => x"5328",
34
    000023 => x"3ffa",
35
    000024 => x"4035",
36
    000025 => x"0228",
37
    000026 => x"4036",
38
    000027 => x"0228",
39
    000028 => x"4037",
40
    000029 => x"c008",
41
    000030 => x"9506",
42
    000031 => x"2404",
43
    000032 => x"45b7",
44
    000033 => x"0000",
45
    000034 => x"5327",
46
    000035 => x"3ffa",
47
    000036 => x"4032",
48
    000037 => x"4000",
49
    000038 => x"4304",
50
    000039 => x"430a",
51
    000040 => x"430b",
52
    000041 => x"430c",
53
    000042 => x"430d",
54
    000043 => x"430e",
55
    000044 => x"430f",
56
    000045 => x"12b0",
57
    000046 => x"006c",
58
    000047 => x"4302",
59
    000048 => x"40b2",
60
    000049 => x"4700",
61
    000050 => x"ffb8",
62
    000051 => x"4032",
63
    000052 => x"0010",
64
    000053 => x"4303",
65
    000054 => x"120a",
66
    000055 => x"1209",
67
    000056 => x"1208",
68
    000057 => x"1207",
69
    000058 => x"403c",
70
    000059 => x"4b00",
71
    000060 => x"434d",
72
    000061 => x"12b0",
73
    000062 => x"00a8",
74
    000063 => x"403c",
75
    000064 => x"020c",
76
    000065 => x"12b0",
77
    000066 => x"013c",
78
    000067 => x"434c",
79
    000068 => x"4037",
80
    000069 => x"016c",
81
    000070 => x"4039",
82
    000071 => x"0172",
83
    000072 => x"4078",
84
    000073 => x"00c8",
85
    000074 => x"4c0a",
86
    000075 => x"531a",
87
    000076 => x"f03c",
88
    000077 => x"00ff",
89
    000078 => x"1287",
90
    000079 => x"480c",
91
    000080 => x"1289",
92
    000081 => x"4a0c",
93
    000082 => x"4030",
94
    000083 => x"0094",
95
    000084 => x"120a",
96
    000085 => x"1209",
97
    000086 => x"421a",
98
    000087 => x"fffc",
99
    000088 => x"421b",
100
    000089 => x"fffe",
101
    000090 => x"4c0e",
102
    000091 => x"5c0e",
103
    000092 => x"4d0f",
104
    000093 => x"6d0f",
105
    000094 => x"434c",
106
    000095 => x"4f09",
107
    000096 => x"9f0b",
108
    000097 => x"2804",
109
    000098 => x"9b09",
110
    000099 => x"201b",
111
    000100 => x"9e0a",
112
    000101 => x"2c19",
113
    000102 => x"434a",
114
    000103 => x"4079",
115
    000104 => x"0003",
116
    000105 => x"407d",
117
    000106 => x"00ff",
118
    000107 => x"9c0d",
119
    000108 => x"2817",
120
    000109 => x"4382",
121
    000110 => x"ffa0",
122
    000111 => x"4a0d",
123
    000112 => x"5a0d",
124
    000113 => x"5d0d",
125
    000114 => x"5d0d",
126
    000115 => x"5d0d",
127
    000116 => x"5d0d",
128
    000117 => x"5d0d",
129
    000118 => x"5d0d",
130
    000119 => x"5d0d",
131
    000120 => x"dc0d",
132
    000121 => x"d03d",
133
    000122 => x"1000",
134
    000123 => x"4d82",
135
    000124 => x"ffa0",
136
    000125 => x"4030",
137
    000126 => x"01a8",
138
    000127 => x"8e0a",
139
    000128 => x"7f0b",
140
    000129 => x"531c",
141
    000130 => x"4030",
142
    000131 => x"00c0",
143
    000132 => x"936a",
144
    000133 => x"2402",
145
    000134 => x"926a",
146
    000135 => x"2008",
147
    000136 => x"490d",
148
    000137 => x"12b0",
149
    000138 => x"01b4",
150
    000139 => x"535a",
151
    000140 => x"f03a",
152
    000141 => x"00ff",
153
    000142 => x"4030",
154
    000143 => x"00d2",
155
    000144 => x"c312",
156
    000145 => x"100c",
157
    000146 => x"4030",
158
    000147 => x"0116",
159
    000148 => x"f03c",
160
    000149 => x"00ff",
161
    000150 => x"403e",
162
    000151 => x"ffa0",
163
    000152 => x"4e2d",
164
    000153 => x"930d",
165
    000154 => x"3bfd",
166
    000155 => x"4c82",
167
    000156 => x"ffa2",
168
    000157 => x"4130",
169
    000158 => x"120a",
170
    000159 => x"1209",
171
    000160 => x"1208",
172
    000161 => x"1207",
173
    000162 => x"4c09",
174
    000163 => x"4038",
175
    000164 => x"0128",
176
    000165 => x"4077",
177
    000166 => x"000d",
178
    000167 => x"496a",
179
    000168 => x"930a",
180
    000169 => x"2002",
181
    000170 => x"4030",
182
    000171 => x"01a4",
183
    000172 => x"903a",
184
    000173 => x"000a",
185
    000174 => x"2002",
186
    000175 => x"474c",
187
    000176 => x"1288",
188
    000177 => x"4a4c",
189
    000178 => x"1288",
190
    000179 => x"5319",
191
    000180 => x"4030",
192
    000181 => x"014e",
193
    000182 => x"4c82",
194
    000183 => x"ffac",
195
    000184 => x"4130",
196
    000185 => x"421e",
197
    000186 => x"fffe",
198
    000187 => x"430f",
199
    000188 => x"4e0b",
200
    000189 => x"5e0b",
201
    000190 => x"4f0d",
202
    000191 => x"6f0d",
203
    000192 => x"4c0e",
204
    000193 => x"430f",
205
    000194 => x"4b0c",
206
    000195 => x"12b0",
207
    000196 => x"01ba",
208
    000197 => x"533c",
209
    000198 => x"633d",
210
    000199 => x"933c",
211
    000200 => x"2003",
212
    000201 => x"933d",
213
    000202 => x"2001",
214
    000203 => x"4130",
215
    000204 => x"4303",
216
    000205 => x"4030",
217
    000206 => x"018a",
218
    000207 => x"4134",
219
    000208 => x"4135",
220
    000209 => x"4136",
221
    000210 => x"4137",
222
    000211 => x"4138",
223
    000212 => x"4139",
224
    000213 => x"413a",
225
    000214 => x"4130",
226
    000215 => x"533d",
227
    000216 => x"c312",
228
    000217 => x"100c",
229
    000218 => x"930d",
230
    000219 => x"23fb",
231
    000220 => x"4130",
232
    000221 => x"120a",
233
    000222 => x"1209",
234
    000223 => x"1208",
235
    000224 => x"1207",
236
    000225 => x"1206",
237
    000226 => x"4c0a",
238
    000227 => x"4d0b",
239
    000228 => x"407d",
240
    000229 => x"0021",
241
    000230 => x"4348",
242
    000231 => x"4349",
243
    000232 => x"4e0c",
244
    000233 => x"df0c",
245
    000234 => x"930c",
246
    000235 => x"2405",
247
    000236 => x"537d",
248
    000237 => x"f03d",
249
    000238 => x"00ff",
250
    000239 => x"930d",
251
    000240 => x"2004",
252
    000241 => x"480c",
253
    000242 => x"490d",
254
    000243 => x"4030",
255
    000244 => x"01a2",
256
    000245 => x"4e0c",
257
    000246 => x"f35c",
258
    000247 => x"930c",
259
    000248 => x"2402",
260
    000249 => x"5a08",
261
    000250 => x"6b09",
262
    000251 => x"4a06",
263
    000252 => x"4b07",
264
    000253 => x"5a06",
265
    000254 => x"6b07",
266
    000255 => x"460a",
267
    000256 => x"470b",
268
    000257 => x"c312",
269
    000258 => x"100f",
270
    000259 => x"100e",
271
    000260 => x"4030",
272
    000261 => x"01d0",
273
    000262 => x"420a",
274
    000263 => x"696c",
275
    000264 => x"6b6e",
276
    000265 => x"6e69",
277
    000266 => x"2067",
278
    000267 => x"454c",
279
    000268 => x"2044",
280
    000269 => x"6564",
281
    000270 => x"6f6d",
282
    000271 => x"7020",
283
    000272 => x"6f72",
284
    000273 => x"7267",
285
    000274 => x"6d61",
286
    000275 => x"000a",
287
    others => x"0000"
288
  );
289
 
290
end neo430_application_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.