OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

[/] [neo430/] [trunk/] [neo430/] [rtl/] [core/] [neo430_bootloader_image.vhd] - Blame information for rev 198

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 198 zero_gravi
-- The NEO430 Processor Project, by Stephan Nolting
2
-- Auto-generated memory init file (for BOOTLOADER)
3
 
4
library ieee;
5
use ieee.std_logic_1164.all;
6
 
7
package neo430_bootloader_image is
8
 
9
  type bootloader_init_image_t is array (0 to 65535) of std_ulogic_vector(15 downto 0);
10
  constant bootloader_init_image : bootloader_init_image_t := (
11
    000000 => x"4031",
12
    000001 => x"bffe",
13
    000002 => x"5211",
14
    000003 => x"fffa",
15
    000004 => x"3de2",
16
    000005 => x"120f",
17
    000006 => x"120e",
18
    000007 => x"120d",
19
    000008 => x"120c",
20
    000009 => x"120b",
21
    000010 => x"5392",
22
    000011 => x"c004",
23
    000012 => x"435c",
24
    000013 => x"12b0",
25
    000014 => x"f6ac",
26
    000015 => x"413b",
27
    000016 => x"413c",
28
    000017 => x"413d",
29
    000018 => x"413e",
30
    000019 => x"413f",
31
    000020 => x"1300",
32
    000021 => x"403c",
33
    000022 => x"f6f8",
34
    000023 => x"12b0",
35
    000024 => x"f5d8",
36
    000025 => x"4130",
37
    000026 => x"4c4a",
38
    000027 => x"403c",
39
    000028 => x"f72e",
40
    000029 => x"12b0",
41
    000030 => x"f5d8",
42
    000031 => x"4a4c",
43
    000032 => x"12b0",
44
    000033 => x"f62a",
45
    000034 => x"4302",
46
    000035 => x"435c",
47
    000036 => x"12b0",
48
    000037 => x"f6a6",
49
    000038 => x"4030",
50
    000039 => x"f04c",
51
    000040 => x"120a",
52
    000041 => x"d392",
53
    000042 => x"ffa4",
54
    000043 => x"403a",
55
    000044 => x"f690",
56
    000045 => x"407c",
57
    000046 => x"0005",
58
    000047 => x"128a",
59
    000048 => x"434c",
60
    000049 => x"128a",
61
    000050 => x"4c0a",
62
    000051 => x"12b0",
63
    000052 => x"f688",
64
    000053 => x"4a4c",
65
    000054 => x"413a",
66
    000055 => x"4130",
67
    000056 => x"120a",
68
    000057 => x"d392",
69
    000058 => x"ffa4",
70
    000059 => x"403a",
71
    000060 => x"f690",
72
    000061 => x"407c",
73
    000062 => x"009e",
74
    000063 => x"128a",
75
    000064 => x"434c",
76
    000065 => x"128a",
77
    000066 => x"4c0a",
78
    000067 => x"12b0",
79
    000068 => x"f688",
80
    000069 => x"4a4c",
81
    000070 => x"413a",
82
    000071 => x"4130",
83
    000072 => x"d392",
84
    000073 => x"ffa4",
85
    000074 => x"12b0",
86
    000075 => x"f690",
87
    000076 => x"12b0",
88
    000077 => x"f688",
89
    000078 => x"4130",
90
    000079 => x"407c",
91
    000080 => x"00b9",
92
    000081 => x"12b0",
93
    000082 => x"f090",
94
    000083 => x"403c",
95
    000084 => x"f735",
96
    000085 => x"12b0",
97
    000086 => x"f5d8",
98
    000087 => x"403d",
99
    000088 => x"ffa0",
100
    000089 => x"4d2c",
101
    000090 => x"930c",
102
    000091 => x"3bfd",
103
    000092 => x"4032",
104
    000093 => x"4000",
105
    000094 => x"4300",
106
    000095 => x"4030",
107
    000096 => x"f0bc",
108
    000097 => x"120a",
109
    000098 => x"1209",
110
    000099 => x"1208",
111
    000100 => x"8321",
112
    000101 => x"4c0a",
113
    000102 => x"4e09",
114
    000103 => x"4f08",
115
    000104 => x"411e",
116
    000105 => x"000a",
117
    000106 => x"4c8e",
118
    000107 => x"0000",
119
    000108 => x"4d81",
120
    000109 => x"0000",
121
    000110 => x"12b0",
122
    000111 => x"f6b8",
123
    000112 => x"4c88",
124
    000113 => x"0000",
125
    000114 => x"4a0c",
126
    000115 => x"412d",
127
    000116 => x"407e",
128
    000117 => x"0010",
129
    000118 => x"12b0",
130
    000119 => x"f6f2",
131
    000120 => x"4c89",
132
    000121 => x"0000",
133
    000122 => x"5321",
134
    000123 => x"4030",
135
    000124 => x"f6d6",
136
    000125 => x"120a",
137
    000126 => x"8231",
138
    000127 => x"436e",
139
    000128 => x"510e",
140
    000129 => x"4e81",
141
    000130 => x"0000",
142
    000131 => x"410f",
143
    000132 => x"522f",
144
    000133 => x"410e",
145
    000134 => x"503e",
146
    000135 => x"0006",
147
    000136 => x"12b0",
148
    000137 => x"f0c2",
149
    000138 => x"d392",
150
    000139 => x"ffa4",
151
    000140 => x"403a",
152
    000141 => x"f690",
153
    000142 => x"407c",
154
    000143 => x"0003",
155
    000144 => x"128a",
156
    000145 => x"411c",
157
    000146 => x"0006",
158
    000147 => x"128a",
159
    000148 => x"411c",
160
    000149 => x"0004",
161
    000150 => x"128a",
162
    000151 => x"411c",
163
    000152 => x"0002",
164
    000153 => x"128a",
165
    000154 => x"434c",
166
    000155 => x"128a",
167
    000156 => x"4c0a",
168
    000157 => x"12b0",
169
    000158 => x"f688",
170
    000159 => x"4a4c",
171
    000160 => x"5231",
172
    000161 => x"413a",
173
    000162 => x"4130",
174
    000163 => x"120a",
175
    000164 => x"1209",
176
    000165 => x"1208",
177
    000166 => x"1207",
178
    000167 => x"4c08",
179
    000168 => x"4d07",
180
    000169 => x"934e",
181
    000170 => x"200b",
182
    000171 => x"4039",
183
    000172 => x"f5c6",
184
    000173 => x"1289",
185
    000174 => x"4c4a",
186
    000175 => x"1289",
187
    000176 => x"4c4d",
188
    000177 => x"4a4c",
189
    000178 => x"12b0",
190
    000179 => x"f6bc",
191
    000180 => x"4030",
192
    000181 => x"f6d4",
193
    000182 => x"4039",
194
    000183 => x"f0fa",
195
    000184 => x"1289",
196
    000185 => x"4c4a",
197
    000186 => x"480c",
198
    000187 => x"531c",
199
    000188 => x"470d",
200
    000189 => x"630d",
201
    000190 => x"1289",
202
    000191 => x"4030",
203
    000192 => x"f160",
204
    000193 => x"120a",
205
    000194 => x"1209",
206
    000195 => x"1208",
207
    000196 => x"1207",
208
    000197 => x"1206",
209
    000198 => x"1205",
210
    000199 => x"1204",
211
    000200 => x"8031",
212
    000201 => x"0006",
213
    000202 => x"4c46",
214
    000203 => x"4215",
215
    000204 => x"fff2",
216
    000205 => x"f035",
217
    000206 => x"0100",
218
    000207 => x"9305",
219
    000208 => x"2403",
220
    000209 => x"435c",
221
    000210 => x"12b0",
222
    000211 => x"f034",
223
    000212 => x"403d",
224
    000213 => x"f5d8",
225
    000214 => x"9306",
226
    000215 => x"200f",
227
    000216 => x"403c",
228
    000217 => x"f742",
229
    000218 => x"128d",
230
    000219 => x"4037",
231
    000220 => x"f146",
232
    000221 => x"464e",
233
    000222 => x"434c",
234
    000223 => x"426d",
235
    000224 => x"1287",
236
    000225 => x"903c",
237
    000226 => x"cafe",
238
    000227 => x"2407",
239
    000228 => x"436c",
240
    000229 => x"4030",
241
    000230 => x"f1a4",
242
    000231 => x"403c",
243
    000232 => x"f755",
244
    000233 => x"4030",
245
    000234 => x"f1b4",
246
    000235 => x"464e",
247
    000236 => x"436c",
248
    000237 => x"426d",
249
    000238 => x"1287",
250
    000239 => x"4c0a",
251
    000240 => x"464e",
252
    000241 => x"426c",
253
    000242 => x"426d",
254
    000243 => x"1287",
255
    000244 => x"4c04",
256
    000245 => x"421f",
257
    000246 => x"fff6",
258
    000247 => x"9a0f",
259
    000248 => x"281d",
260
    000249 => x"c312",
261
    000250 => x"100a",
262
    000251 => x"4a0c",
263
    000252 => x"430d",
264
    000253 => x"4c0e",
265
    000254 => x"5c0e",
266
    000255 => x"4d0c",
267
    000256 => x"6d0c",
268
    000257 => x"4e81",
269
    000258 => x"0002",
270
    000259 => x"4c81",
271
    000260 => x"0004",
272
    000261 => x"4348",
273
    000262 => x"4349",
274
    000263 => x"9881",
275
    000264 => x"0002",
276
    000265 => x"200f",
277
    000266 => x"9981",
278
    000267 => x"0004",
279
    000268 => x"200c",
280
    000269 => x"c312",
281
    000270 => x"100f",
282
    000271 => x"9f0a",
283
    000272 => x"2819",
284
    000273 => x"9405",
285
    000274 => x"241e",
286
    000275 => x"427c",
287
    000276 => x"4030",
288
    000277 => x"f1a4",
289
    000278 => x"426c",
290
    000279 => x"4030",
291
    000280 => x"f1a4",
292
    000281 => x"464e",
293
    000282 => x"480c",
294
    000283 => x"503c",
295
    000284 => x"0006",
296
    000285 => x"490d",
297
    000286 => x"622d",
298
    000287 => x"4f81",
299
    000288 => x"0000",
300
    000289 => x"1287",
301
    000290 => x"ec05",
302
    000291 => x"4c88",
303
    000292 => x"0000",
304
    000293 => x"5328",
305
    000294 => x"6309",
306
    000295 => x"412f",
307
    000296 => x"4030",
308
    000297 => x"f20e",
309
    000298 => x"4a0c",
310
    000299 => x"5a0c",
311
    000300 => x"438c",
312
    000301 => x"0000",
313
    000302 => x"531a",
314
    000303 => x"4030",
315
    000304 => x"f21e",
316
    000305 => x"403c",
317
    000306 => x"f760",
318
    000307 => x"12b0",
319
    000308 => x"f5d8",
320
    000309 => x"5031",
321
    000310 => x"0006",
322
    000311 => x"4030",
323
    000312 => x"f6ce",
324
    000313 => x"120a",
325
    000314 => x"1209",
326
    000315 => x"8231",
327
    000316 => x"4e49",
328
    000317 => x"436e",
329
    000318 => x"510e",
330
    000319 => x"4e81",
331
    000320 => x"0000",
332
    000321 => x"410f",
333
    000322 => x"522f",
334
    000323 => x"410e",
335
    000324 => x"503e",
336
    000325 => x"0006",
337
    000326 => x"12b0",
338
    000327 => x"f0c2",
339
    000328 => x"407c",
340
    000329 => x"0006",
341
    000330 => x"12b0",
342
    000331 => x"f090",
343
    000332 => x"d392",
344
    000333 => x"ffa4",
345
    000334 => x"403a",
346
    000335 => x"f690",
347
    000336 => x"436c",
348
    000337 => x"128a",
349
    000338 => x"411c",
350
    000339 => x"0006",
351
    000340 => x"128a",
352
    000341 => x"411c",
353
    000342 => x"0004",
354
    000343 => x"128a",
355
    000344 => x"411c",
356
    000345 => x"0002",
357
    000346 => x"128a",
358
    000347 => x"490c",
359
    000348 => x"128a",
360
    000349 => x"12b0",
361
    000350 => x"f688",
362
    000351 => x"403a",
363
    000352 => x"f050",
364
    000353 => x"128a",
365
    000354 => x"934c",
366
    000355 => x"23fd",
367
    000356 => x"5231",
368
    000357 => x"4030",
369
    000358 => x"f6d8",
370
    000359 => x"120a",
371
    000360 => x"1209",
372
    000361 => x"1208",
373
    000362 => x"1207",
374
    000363 => x"4c0a",
375
    000364 => x"4d08",
376
    000365 => x"4e07",
377
    000366 => x"4e0c",
378
    000367 => x"12b0",
379
    000368 => x"f6b8",
380
    000369 => x"4039",
381
    000370 => x"f272",
382
    000371 => x"4c4e",
383
    000372 => x"4a0c",
384
    000373 => x"480d",
385
    000374 => x"1289",
386
    000375 => x"474e",
387
    000376 => x"4a0c",
388
    000377 => x"531c",
389
    000378 => x"480d",
390
    000379 => x"630d",
391
    000380 => x"1289",
392
    000381 => x"4030",
393
    000382 => x"f6d4",
394
    000383 => x"120a",
395
    000384 => x"8231",
396
    000385 => x"436e",
397
    000386 => x"510e",
398
    000387 => x"4e81",
399
    000388 => x"0000",
400
    000389 => x"410f",
401
    000390 => x"522f",
402
    000391 => x"410e",
403
    000392 => x"503e",
404
    000393 => x"0006",
405
    000394 => x"12b0",
406
    000395 => x"f0c2",
407
    000396 => x"407c",
408
    000397 => x"0006",
409
    000398 => x"12b0",
410
    000399 => x"f090",
411
    000400 => x"d392",
412
    000401 => x"ffa4",
413
    000402 => x"403a",
414
    000403 => x"f690",
415
    000404 => x"407c",
416
    000405 => x"00d8",
417
    000406 => x"128a",
418
    000407 => x"411c",
419
    000408 => x"0006",
420
    000409 => x"128a",
421
    000410 => x"411c",
422
    000411 => x"0004",
423
    000412 => x"128a",
424
    000413 => x"411c",
425
    000414 => x"0002",
426
    000415 => x"128a",
427
    000416 => x"12b0",
428
    000417 => x"f688",
429
    000418 => x"403a",
430
    000419 => x"f050",
431
    000420 => x"128a",
432
    000421 => x"934c",
433
    000422 => x"23fd",
434
    000423 => x"5231",
435
    000424 => x"413a",
436
    000425 => x"4130",
437
    000426 => x"120a",
438
    000427 => x"1209",
439
    000428 => x"1208",
440
    000429 => x"1207",
441
    000430 => x"1206",
442
    000431 => x"1205",
443
    000432 => x"1204",
444
    000433 => x"4038",
445
    000434 => x"f5d8",
446
    000435 => x"403c",
447
    000436 => x"f763",
448
    000437 => x"1288",
449
    000438 => x"434c",
450
    000439 => x"426d",
451
    000440 => x"12b0",
452
    000441 => x"f2fe",
453
    000442 => x"12b0",
454
    000443 => x"f070",
455
    000444 => x"934c",
456
    000445 => x"2002",
457
    000446 => x"12b0",
458
    000447 => x"f034",
459
    000448 => x"403a",
460
    000449 => x"f2ce",
461
    000450 => x"403e",
462
    000451 => x"cafe",
463
    000452 => x"434c",
464
    000453 => x"426d",
465
    000454 => x"128a",
466
    000455 => x"4215",
467
    000456 => x"fff6",
468
    000457 => x"450e",
469
    000458 => x"436c",
470
    000459 => x"426d",
471
    000460 => x"128a",
472
    000461 => x"4349",
473
    000462 => x"4904",
474
    000463 => x"9509",
475
    000464 => x"2809",
476
    000465 => x"440e",
477
    000466 => x"426c",
478
    000467 => x"426d",
479
    000468 => x"128a",
480
    000469 => x"403c",
481
    000470 => x"f760",
482
    000471 => x"1288",
483
    000472 => x"4030",
484
    000473 => x"f6ce",
485
    000474 => x"492e",
486
    000475 => x"ee04",
487
    000476 => x"4906",
488
    000477 => x"4307",
489
    000478 => x"460c",
490
    000479 => x"503c",
491
    000480 => x"0006",
492
    000481 => x"470d",
493
    000482 => x"622d",
494
    000483 => x"128a",
495
    000484 => x"5329",
496
    000485 => x"4030",
497
    000486 => x"f39e",
498
    000487 => x"120a",
499
    000488 => x"1209",
500
    000489 => x"1208",
501
    000490 => x"1207",
502
    000491 => x"1206",
503
    000492 => x"1205",
504
    000493 => x"12b0",
505
    000494 => x"f6c6",
506
    000495 => x"4032",
507
    000496 => x"c000",
508
    000497 => x"4382",
509
    000498 => x"ffec",
510
    000499 => x"4382",
511
    000500 => x"ff90",
512
    000501 => x"4382",
513
    000502 => x"ffe0",
514
    000503 => x"4382",
515
    000504 => x"ffe8",
516
    000505 => x"4382",
517
    000506 => x"ffee",
518
    000507 => x"4382",
519
    000508 => x"ff88",
520
    000509 => x"4382",
521
    000510 => x"ffa8",
522
    000511 => x"435c",
523
    000512 => x"12b0",
524
    000513 => x"f6a6",
525
    000514 => x"40b2",
526
    000515 => x"f00a",
527
    000516 => x"c000",
528
    000517 => x"403c",
529
    000518 => x"4b00",
530
    000519 => x"434d",
531
    000520 => x"12b0",
532
    000521 => x"f532",
533
    000522 => x"12b0",
534
    000523 => x"f5d2",
535
    000524 => x"436c",
536
    000525 => x"12b0",
537
    000526 => x"f660",
538
    000527 => x"4382",
539
    000528 => x"ffb0",
540
    000529 => x"4038",
541
    000530 => x"fffe",
542
    000531 => x"482c",
543
    000532 => x"5c0c",
544
    000533 => x"5c0c",
545
    000534 => x"533c",
546
    000535 => x"4c82",
547
    000536 => x"ffb4",
548
    000537 => x"40b2",
549
    000538 => x"00ff",
550
    000539 => x"ffb0",
551
    000540 => x"4382",
552
    000541 => x"c004",
553
    000542 => x"12b0",
554
    000543 => x"f6b2",
555
    000544 => x"403a",
556
    000545 => x"f5d8",
557
    000546 => x"403c",
558
    000547 => x"f767",
559
    000548 => x"128a",
560
    000549 => x"4039",
561
    000550 => x"f646",
562
    000551 => x"421c",
563
    000552 => x"fff0",
564
    000553 => x"1289",
565
    000554 => x"403c",
566
    000555 => x"f795",
567
    000556 => x"128a",
568
    000557 => x"421c",
569
    000558 => x"fff4",
570
    000559 => x"1289",
571
    000560 => x"403c",
572
    000561 => x"f79e",
573
    000562 => x"128a",
574
    000563 => x"482c",
575
    000564 => x"1289",
576
    000565 => x"421c",
577
    000566 => x"fffc",
578
    000567 => x"1289",
579
    000568 => x"403c",
580
    000569 => x"f7a7",
581
    000570 => x"128a",
582
    000571 => x"421c",
583
    000572 => x"fff6",
584
    000573 => x"1289",
585
    000574 => x"403c",
586
    000575 => x"f7b0",
587
    000576 => x"128a",
588
    000577 => x"421c",
589
    000578 => x"fffa",
590
    000579 => x"1289",
591
    000580 => x"403c",
592
    000581 => x"f7b9",
593
    000582 => x"128a",
594
    000583 => x"421c",
595
    000584 => x"fff2",
596
    000585 => x"1289",
597
    000586 => x"407c",
598
    000587 => x"00ab",
599
    000588 => x"12b0",
600
    000589 => x"f090",
601
    000590 => x"403c",
602
    000591 => x"f7c2",
603
    000592 => x"128a",
604
    000593 => x"403e",
605
    000594 => x"c004",
606
    000595 => x"403d",
607
    000596 => x"ffa2",
608
    000597 => x"4e2c",
609
    000598 => x"903c",
610
    000599 => x"0010",
611
    000600 => x"2008",
612
    000601 => x"435c",
613
    000602 => x"12b0",
614
    000603 => x"f182",
615
    000604 => x"403c",
616
    000605 => x"f7ea",
617
    000606 => x"128a",
618
    000607 => x"12b0",
619
    000608 => x"f09e",
620
    000609 => x"4d2c",
621
    000610 => x"930c",
622
    000611 => x"37f1",
623
    000612 => x"4038",
624
    000613 => x"f02a",
625
    000614 => x"1288",
626
    000615 => x"4037",
627
    000616 => x"f5c6",
628
    000617 => x"4036",
629
    000618 => x"f5b2",
630
    000619 => x"4035",
631
    000620 => x"f354",
632
    000621 => x"403c",
633
    000622 => x"f7ec",
634
    000623 => x"128a",
635
    000624 => x"1287",
636
    000625 => x"4c49",
637
    000626 => x"1286",
638
    000627 => x"403c",
639
    000628 => x"f7ea",
640
    000629 => x"128a",
641
    000630 => x"9079",
642
    000631 => x"0072",
643
    000632 => x"2004",
644
    000633 => x"4030",
645
    000634 => x"f000",
646
    000635 => x"4030",
647
    000636 => x"f4da",
648
    000637 => x"9079",
649
    000638 => x"0068",
650
    000639 => x"2003",
651
    000640 => x"1288",
652
    000641 => x"4030",
653
    000642 => x"f4da",
654
    000643 => x"9079",
655
    000644 => x"0075",
656
    000645 => x"2005",
657
    000646 => x"434c",
658
    000647 => x"12b0",
659
    000648 => x"f182",
660
    000649 => x"4030",
661
    000650 => x"f4da",
662
    000651 => x"9079",
663
    000652 => x"0070",
664
    000653 => x"2003",
665
    000654 => x"1285",
666
    000655 => x"4030",
667
    000656 => x"f4da",
668
    000657 => x"9079",
669
    000658 => x"0065",
670
    000659 => x"27cb",
671
    000660 => x"403c",
672
    000661 => x"f7f4",
673
    000662 => x"128a",
674
    000663 => x"4030",
675
    000664 => x"f4da",
676
    000665 => x"120a",
677
    000666 => x"1209",
678
    000667 => x"421a",
679
    000668 => x"fffc",
680
    000669 => x"421b",
681
    000670 => x"fffe",
682
    000671 => x"4c0e",
683
    000672 => x"5c0e",
684
    000673 => x"4d0f",
685
    000674 => x"6d0f",
686
    000675 => x"434c",
687
    000676 => x"4f09",
688
    000677 => x"9f0b",
689
    000678 => x"2804",
690
    000679 => x"9b09",
691
    000680 => x"201b",
692
    000681 => x"9e0a",
693
    000682 => x"2c19",
694
    000683 => x"434a",
695
    000684 => x"4079",
696
    000685 => x"0003",
697
    000686 => x"407d",
698
    000687 => x"00ff",
699
    000688 => x"9c0d",
700
    000689 => x"2817",
701
    000690 => x"4382",
702
    000691 => x"ffa0",
703
    000692 => x"4a0d",
704
    000693 => x"5a0d",
705
    000694 => x"5d0d",
706
    000695 => x"5d0d",
707
    000696 => x"5d0d",
708
    000697 => x"5d0d",
709
    000698 => x"5d0d",
710
    000699 => x"5d0d",
711
    000700 => x"5d0d",
712
    000701 => x"dc0d",
713
    000702 => x"d03d",
714
    000703 => x"1000",
715
    000704 => x"4d82",
716
    000705 => x"ffa0",
717
    000706 => x"4030",
718
    000707 => x"f6d8",
719
    000708 => x"8e0a",
720
    000709 => x"7f0b",
721
    000710 => x"531c",
722
    000711 => x"4030",
723
    000712 => x"f54a",
724
    000713 => x"936a",
725
    000714 => x"2402",
726
    000715 => x"926a",
727
    000716 => x"2008",
728
    000717 => x"490d",
729
    000718 => x"12b0",
730
    000719 => x"f6e4",
731
    000720 => x"535a",
732
    000721 => x"f03a",
733
    000722 => x"00ff",
734
    000723 => x"4030",
735
    000724 => x"f55c",
736
    000725 => x"c312",
737
    000726 => x"100c",
738
    000727 => x"4030",
739
    000728 => x"f5a0",
740
    000729 => x"f03c",
741
    000730 => x"00ff",
742
    000731 => x"403e",
743
    000732 => x"ffa0",
744
    000733 => x"4e2d",
745
    000734 => x"930d",
746
    000735 => x"3bfd",
747
    000736 => x"4c82",
748
    000737 => x"ffa2",
749
    000738 => x"4130",
750
    000739 => x"403d",
751
    000740 => x"ffa2",
752
    000741 => x"4d2c",
753
    000742 => x"930c",
754
    000743 => x"37fd",
755
    000744 => x"4130",
756
    000745 => x"421c",
757
    000746 => x"ffa2",
758
    000747 => x"4130",
759
    000748 => x"120a",
760
    000749 => x"1209",
761
    000750 => x"1208",
762
    000751 => x"1207",
763
    000752 => x"4c09",
764
    000753 => x"4038",
765
    000754 => x"f5b2",
766
    000755 => x"4077",
767
    000756 => x"000d",
768
    000757 => x"496a",
769
    000758 => x"930a",
770
    000759 => x"2002",
771
    000760 => x"4030",
772
    000761 => x"f6d4",
773
    000762 => x"903a",
774
    000763 => x"000a",
775
    000764 => x"2002",
776
    000765 => x"474c",
777
    000766 => x"1288",
778
    000767 => x"4a4c",
779
    000768 => x"1288",
780
    000769 => x"5319",
781
    000770 => x"4030",
782
    000771 => x"f5ea",
783
    000772 => x"f07c",
784
    000773 => x"000f",
785
    000774 => x"407d",
786
    000775 => x"0009",
787
    000776 => x"9c4d",
788
    000777 => x"2805",
789
    000778 => x"503c",
790
    000779 => x"0030",
791
    000780 => x"12b0",
792
    000781 => x"f5b2",
793
    000782 => x"4130",
794
    000783 => x"507c",
795
    000784 => x"0057",
796
    000785 => x"f03c",
797
    000786 => x"00ff",
798
    000787 => x"4030",
799
    000788 => x"f618",
800
    000789 => x"120a",
801
    000790 => x"1209",
802
    000791 => x"4c49",
803
    000792 => x"490c",
804
    000793 => x"426d",
805
    000794 => x"12b0",
806
    000795 => x"f6e4",
807
    000796 => x"403a",
808
    000797 => x"f608",
809
    000798 => x"128a",
810
    000799 => x"494c",
811
    000800 => x"128a",
812
    000801 => x"4030",
813
    000802 => x"f6d8",
814
    000803 => x"120a",
815
    000804 => x"1209",
816
    000805 => x"4c09",
817
    000806 => x"427d",
818
    000807 => x"12b0",
819
    000808 => x"f6e4",
820
    000809 => x"403a",
821
    000810 => x"f62a",
822
    000811 => x"128a",
823
    000812 => x"494c",
824
    000813 => x"128a",
825
    000814 => x"4030",
826
    000815 => x"f6d8",
827
    000816 => x"f03c",
828
    000817 => x"00ff",
829
    000818 => x"403d",
830
    000819 => x"ffa4",
831
    000820 => x"438d",
832
    000821 => x"0000",
833
    000822 => x"5c0c",
834
    000823 => x"5c0c",
835
    000824 => x"5c0c",
836
    000825 => x"5c0c",
837
    000826 => x"5c0c",
838
    000827 => x"5c0c",
839
    000828 => x"5c0c",
840
    000829 => x"5c0c",
841
    000830 => x"5c0c",
842
    000831 => x"d03c",
843
    000832 => x"0040",
844
    000833 => x"4c8d",
845
    000834 => x"0000",
846
    000835 => x"4130",
847
    000836 => x"f0b2",
848
    000837 => x"ffc0",
849
    000838 => x"ffa4",
850
    000839 => x"4130",
851
    000840 => x"403d",
852
    000841 => x"ffa6",
853
    000842 => x"4c8d",
854
    000843 => x"0000",
855
    000844 => x"403e",
856
    000845 => x"ffa4",
857
    000846 => x"4e2c",
858
    000847 => x"930c",
859
    000848 => x"3bfd",
860
    000849 => x"4d2c",
861
    000850 => x"4130",
862
    000851 => x"4c82",
863
    000852 => x"ffac",
864
    000853 => x"4130",
865
    000854 => x"ec82",
866
    000855 => x"ffac",
867
    000856 => x"4130",
868
    000857 => x"d232",
869
    000858 => x"4303",
870
    000859 => x"4130",
871
    000860 => x"108c",
872
    000861 => x"4130",
873
    000862 => x"4c4e",
874
    000863 => x"4d4c",
875
    000864 => x"108e",
876
    000865 => x"de0c",
877
    000866 => x"4130",
878
    000867 => x"40b2",
879
    000868 => x"4700",
880
    000869 => x"ffb8",
881
    000870 => x"4130",
882
    000871 => x"4134",
883
    000872 => x"4135",
884
    000873 => x"4136",
885
    000874 => x"4137",
886
    000875 => x"4138",
887
    000876 => x"4139",
888
    000877 => x"413a",
889
    000878 => x"4130",
890
    000879 => x"533d",
891
    000880 => x"c312",
892
    000881 => x"100c",
893
    000882 => x"930d",
894
    000883 => x"23fb",
895
    000884 => x"4130",
896
    000885 => x"533e",
897
    000886 => x"c312",
898
    000887 => x"100d",
899
    000888 => x"100c",
900
    000889 => x"930e",
901
    000890 => x"23fa",
902
    000891 => x"4130",
903
    000892 => x"4d43",
904
    000893 => x"7344",
905
    000894 => x"0a3a",
906
    000895 => x"3a68",
907
    000896 => x"4820",
908
    000897 => x"6c65",
909
    000898 => x"0a70",
910
    000899 => x"3a72",
911
    000900 => x"5220",
912
    000901 => x"7365",
913
    000902 => x"6174",
914
    000903 => x"7472",
915
    000904 => x"750a",
916
    000905 => x"203a",
917
    000906 => x"7055",
918
    000907 => x"6f6c",
919
    000908 => x"6461",
920
    000909 => x"700a",
921
    000910 => x"203a",
922
    000911 => x"7250",
923
    000912 => x"676f",
924
    000913 => x"650a",
925
    000914 => x"203a",
926
    000915 => x"7845",
927
    000916 => x"6365",
928
    000917 => x"7475",
929
    000918 => x"0065",
930
    000919 => x"0a07",
931
    000920 => x"5245",
932
    000921 => x"5f52",
933
    000922 => x"4200",
934
    000923 => x"6f6f",
935
    000924 => x"6974",
936
    000925 => x"676e",
937
    000926 => x"2e2e",
938
    000927 => x"0a2e",
939
    000928 => x"000a",
940
    000929 => x"7741",
941
    000930 => x"6961",
942
    000931 => x"6974",
943
    000932 => x"676e",
944
    000933 => x"4220",
945
    000934 => x"4e49",
946
    000935 => x"5845",
947
    000936 => x"2e45",
948
    000937 => x"2e2e",
949
    000938 => x"4c00",
950
    000939 => x"616f",
951
    000940 => x"6964",
952
    000941 => x"676e",
953
    000942 => x"2e2e",
954
    000943 => x"002e",
955
    000944 => x"4b4f",
956
    000945 => x"2e00",
957
    000946 => x"2e2e",
958
    000947 => x"0a00",
959
    000948 => x"4e0a",
960
    000949 => x"4f45",
961
    000950 => x"3334",
962
    000951 => x"2030",
963
    000952 => x"6f42",
964
    000953 => x"746f",
965
    000954 => x"6f6c",
966
    000955 => x"6461",
967
    000956 => x"7265",
968
    000957 => x"0a0a",
969
    000958 => x"4c42",
970
    000959 => x"3a56",
971
    000960 => x"4d20",
972
    000961 => x"7961",
973
    000962 => x"3120",
974
    000963 => x"2033",
975
    000964 => x"3032",
976
    000965 => x"3032",
977
    000966 => x"480a",
978
    000967 => x"5657",
979
    000968 => x"203a",
980
    000969 => x"7830",
981
    000970 => x"0a00",
982
    000971 => x"5355",
983
    000972 => x"3a52",
984
    000973 => x"3020",
985
    000974 => x"0078",
986
    000975 => x"430a",
987
    000976 => x"4b4c",
988
    000977 => x"203a",
989
    000978 => x"7830",
990
    000979 => x"0a00",
991
    000980 => x"4f52",
992
    000981 => x"3a4d",
993
    000982 => x"3020",
994
    000983 => x"0078",
995
    000984 => x"520a",
996
    000985 => x"4d41",
997
    000986 => x"203a",
998
    000987 => x"7830",
999
    000988 => x"0a00",
1000
    000989 => x"5953",
1001
    000990 => x"3a53",
1002
    000991 => x"3020",
1003
    000992 => x"0078",
1004
    000993 => x"0a0a",
1005
    000994 => x"7541",
1006
    000995 => x"6f74",
1007
    000996 => x"6f62",
1008
    000997 => x"746f",
1009
    000998 => x"6920",
1010
    000999 => x"206e",
1011
    001000 => x"7334",
1012
    001001 => x"202e",
1013
    001002 => x"7250",
1014
    001003 => x"7365",
1015
    001004 => x"2073",
1016
    001005 => x"656b",
1017
    001006 => x"2079",
1018
    001007 => x"6f74",
1019
    001008 => x"6120",
1020
    001009 => x"6f62",
1021
    001010 => x"7472",
1022
    001011 => x"0a2e",
1023
    001012 => x"000a",
1024
    001013 => x"000a",
1025
    001014 => x"430a",
1026
    001015 => x"444d",
1027
    001016 => x"3e3a",
1028
    001017 => x"0020",
1029
    001018 => x"6142",
1030
    001019 => x"2064",
1031
    001020 => x"4d43",
1032
    001021 => x"0044",
1033
    others => x"0000"
1034
  );
1035
 
1036
end neo430_bootloader_image;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.