OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

[/] [neo430/] [trunk/] [neo430/] [rtl/] [core/] [neo430_timer.vhd] - Blame information for rev 198

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 198 zero_gravi
-- #################################################################################################
2
-- #  << NEO430 - High-Precision Timer >>                                                          #
3
-- # ********************************************************************************************* #
4
-- # This timer uses a configurable prescaler to increment an internal 16-bit counter. When the    #
5
-- # counter value reaches the programmable threshold an interrupt can be triggered. Optionally,   #
6
-- # the counter can be automatically reset when reaching the threshold value to restart counting. #
7
-- # Configure THRES before enabling the timer to prevent false interrupt requests.                #
8
-- # ********************************************************************************************* #
9
-- # BSD 3-Clause License                                                                          #
10
-- #                                                                                               #
11
-- # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
12
-- #                                                                                               #
13
-- # Redistribution and use in source and binary forms, with or without modification, are          #
14
-- # permitted provided that the following conditions are met:                                     #
15
-- #                                                                                               #
16
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
17
-- #    conditions and the following disclaimer.                                                   #
18
-- #                                                                                               #
19
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
20
-- #    conditions and the following disclaimer in the documentation and/or other materials        #
21
-- #    provided with the distribution.                                                            #
22
-- #                                                                                               #
23
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
24
-- #    endorse or promote products derived from this software without specific prior written      #
25
-- #    permission.                                                                                #
26
-- #                                                                                               #
27
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
28
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
29
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
30
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
31
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
32
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
33
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
34
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
35
-- # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
36
-- # ********************************************************************************************* #
37
-- # The NEO430 Processor - https://github.com/stnolting/neo430                                    #
38
-- #################################################################################################
39
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.numeric_std.all;
43
 
44
library neo430;
45
use neo430.neo430_package.all;
46
 
47
entity neo430_timer is
48
  port (
49
    -- host access --
50
    clk_i       : in  std_ulogic; -- global clock line
51
    rden_i      : in  std_ulogic; -- read enable
52
    wren_i      : in  std_ulogic; -- write enable
53
    addr_i      : in  std_ulogic_vector(15 downto 0); -- address
54
    data_i      : in  std_ulogic_vector(15 downto 0); -- data in
55
    data_o      : out std_ulogic_vector(15 downto 0); -- data out
56
    -- clock generator --
57
    clkgen_en_o : out std_ulogic; -- enable clock generator
58
    clkgen_i    : in  std_ulogic_vector(07 downto 0);
59
    -- interrupt --
60
    irq_o       : out std_ulogic  -- interrupt request
61
  );
62
end neo430_timer;
63
 
64
architecture neo430_timer_rtl of neo430_timer is
65
 
66
  -- IO space: module base address --
67
  constant hi_abb_c : natural := index_size_f(io_size_c)-1; -- high address boundary bit
68
  constant lo_abb_c : natural := index_size_f(timer_size_c); -- low address boundary bit
69
 
70
  -- control reg bits --
71
  constant ctrl_en_c        : natural :=  0; -- r/w: timer enable
72
  constant ctrl_arst_c      : natural :=  1; -- r/w: auto reset on match
73
  constant ctrl_irq_en_c    : natural :=  2; -- r/w: interrupt enable
74
  constant ctrl_run_c       : natural :=  3; -- r/w: start/stop timer
75
  constant ctrl_prsc0_c     : natural :=  4; -- r/w: prescaler select bit 0
76
  constant ctrl_prsc1_c     : natural :=  5; -- r/w: prescaler select bit 1
77
  constant ctrl_prsc2_c     : natural :=  6; -- r/w: prescaler select bit 2
78
 
79
  -- access control --
80
  signal acc_en : std_ulogic; -- module access enable
81
  signal addr   : std_ulogic_vector(15 downto 0); -- access address
82
  signal wr_en  : std_ulogic; -- word write enable
83
 
84
  -- timer regs --
85
  signal ctrl  : std_ulogic_vector(06 downto 0); -- r/w: control register
86
  signal thres : std_ulogic_vector(15 downto 0); -- -/w: threshold register 
87
  signal cnt   : std_ulogic_vector(15 downto 0); -- r/-: counter register
88
 
89
  -- prescaler clock generator --
90
  signal prsc_tick : std_ulogic;
91
 
92
  -- timer control --
93
  signal match       : std_ulogic; -- set if thres == cnt
94
  signal irq_fire    : std_ulogic;
95
  signal irq_fire_ff : std_ulogic;
96
 
97
begin
98
 
99
  -- Access Control -----------------------------------------------------------
100
  -- -----------------------------------------------------------------------------
101
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = timer_base_c(hi_abb_c downto lo_abb_c)) else '0';
102
  addr   <= timer_base_c(15 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 1) & '0'; -- word aligned
103
  wr_en  <= acc_en and wren_i;
104
 
105
 
106
  -- Write access -------------------------------------------------------------
107
  -- -----------------------------------------------------------------------------
108
  wr_access: process(clk_i)
109
  begin
110
    if rising_edge(clk_i) then
111
      if (wr_en = '1') then
112
        if (addr = timer_thres_addr_c) then
113
          thres <= data_i;
114
        end if;
115
        if (addr = timer_ctrl_addr_c) then
116
          ctrl(ctrl_en_c)     <= data_i(ctrl_en_c);
117
          ctrl(ctrl_arst_c)   <= data_i(ctrl_arst_c);
118
          ctrl(ctrl_irq_en_c) <= data_i(ctrl_irq_en_c);
119
          ctrl(ctrl_run_c)    <= data_i(ctrl_run_c);
120
          ctrl(ctrl_prsc0_c)  <= data_i(ctrl_prsc0_c);
121
          ctrl(ctrl_prsc1_c)  <= data_i(ctrl_prsc1_c);
122
          ctrl(ctrl_prsc2_c)  <= data_i(ctrl_prsc2_c);
123
        end if;
124
      end if;
125
    end if;
126
  end process wr_access;
127
 
128
  -- enable external clock generator --
129
  clkgen_en_o <= ctrl(ctrl_en_c);
130
 
131
 
132
  -- Counter update -----------------------------------------------------------
133
  -- -----------------------------------------------------------------------------
134
  timer_cnt_core: process(clk_i)
135
  begin
136
    if rising_edge(clk_i) then
137
      -- clock_enable buffer --
138
      prsc_tick <= clkgen_i(to_integer(unsigned(ctrl(ctrl_prsc2_c downto ctrl_prsc0_c))));
139
      -- irq edge detector --
140
      irq_fire_ff <= irq_fire;
141
      -- counter update --
142
      if (ctrl(ctrl_en_c) = '0') then -- timer disabled
143
        cnt <= (others => '0');
144
      elsif (ctrl(ctrl_run_c) = '1') then -- timer enabled, but is it started?
145
        if (match = '1') and (ctrl(ctrl_arst_c) = '1') then -- threshold match and auto reset?
146
          cnt <= (others => '0');
147
        elsif (match = '0') and (prsc_tick = '1') then -- count++
148
          cnt <= std_ulogic_vector(unsigned(cnt) + 1);
149
        end if;
150
      end if;
151
    end if;
152
  end process timer_cnt_core;
153
 
154
  -- match --
155
  match <= '1' when (cnt = thres) else '0';
156
 
157
  -- interrupt line --
158
  irq_fire <= match and ctrl(ctrl_en_c) and ctrl(ctrl_irq_en_c); -- and ctrl(ctrl_run_c);
159
 
160
  -- edge detector --
161
  irq_o <= irq_fire and (not irq_fire_ff);
162
 
163
 
164
  -- Read access --------------------------------------------------------------
165
  -- -----------------------------------------------------------------------------
166
  rd_access: process(clk_i)
167
  begin
168
    if rising_edge(clk_i) then
169
      data_o <= (others => '0');
170
      if (rden_i = '1') and (acc_en = '1') then
171
        if (addr = timer_ctrl_addr_c) then
172
          data_o(ctrl_en_c)     <= ctrl(ctrl_en_c);
173
          data_o(ctrl_arst_c)   <= ctrl(ctrl_arst_c);
174
          data_o(ctrl_irq_en_c) <= ctrl(ctrl_irq_en_c);
175
          data_o(ctrl_run_c)    <= ctrl(ctrl_run_c);
176
          data_o(ctrl_prsc0_c)  <= ctrl(ctrl_prsc0_c);
177
          data_o(ctrl_prsc1_c)  <= ctrl(ctrl_prsc1_c);
178
          data_o(ctrl_prsc2_c)  <= ctrl(ctrl_prsc2_c);
179
        else--if (addr = timer_cnt_addr_c) then
180
          data_o <= cnt;
181
--      else -- (addr = timer_thres_addr_c) then
182
--        data_o <= thres;
183
        end if;
184
      end if;
185
    end if;
186
  end process rd_access;
187
 
188
 
189
end neo430_timer_rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.