OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

[/] [neo430/] [trunk/] [neo430/] [sw/] [lib/] [neo430/] [source/] [neo430_twi.c] - Blame information for rev 198

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 198 zero_gravi
// #################################################################################################
2
// #  < neo430_twi.c - Internal Two Wire Serial interface functions >                              #
3
// # ********************************************************************************************* #
4
// # BSD 3-Clause License                                                                          #
5
// #                                                                                               #
6
// # Copyright (c) 2020, Stephan Nolting. All rights reserved.                                     #
7
// #                                                                                               #
8
// # Redistribution and use in source and binary forms, with or without modification, are          #
9
// # permitted provided that the following conditions are met:                                     #
10
// #                                                                                               #
11
// # 1. Redistributions of source code must retain the above copyright notice, this list of        #
12
// #    conditions and the following disclaimer.                                                   #
13
// #                                                                                               #
14
// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of     #
15
// #    conditions and the following disclaimer in the documentation and/or other materials        #
16
// #    provided with the distribution.                                                            #
17
// #                                                                                               #
18
// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to  #
19
// #    endorse or promote products derived from this software without specific prior written      #
20
// #    permission.                                                                                #
21
// #                                                                                               #
22
// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS   #
23
// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF               #
24
// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE    #
25
// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,     #
26
// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
27
// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED    #
28
// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING     #
29
// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED  #
30
// # OF THE POSSIBILITY OF SUCH DAMAGE.                                                            #
31
// # ********************************************************************************************* #
32
// # The NEO430 Processor - https://github.com/stnolting/neo430                                    #
33
// #################################################################################################
34
 
35
#include "neo430.h"
36
#include "neo430_twi.h"
37
 
38
 
39
/* ------------------------------------------------------------
40
 * INFO Activate (and reset) TWI module
41
 * PARAM clock prescaler
42
 * ------------------------------------------------------------ */
43
void neo430_twi_enable(uint8_t prsc) {
44
 
45
  TWI_CT = 0; // reset
46
  TWI_CT = (1 << TWI_CT_EN) | (prsc << TWI_CT_PRSC0);
47
}
48
 
49
 
50
/* ------------------------------------------------------------
51
 * INFO Deactivate TWI module
52
 * ------------------------------------------------------------ */
53
void neo430_twi_disable(void) {
54
 
55
  TWI_CT = 0;
56
}
57
 
58
 
59
/* ------------------------------------------------------------
60
 * INFO Activate sending ACK by master after transmission
61
 * ------------------------------------------------------------ */
62
void neo430_twi_mack_enable(void) {
63
 
64
  TWI_CT |= (1 << TWI_CT_MACK);
65
}
66
 
67
 
68
/* ------------------------------------------------------------
69
 * INFO Deactivate sending ACK by master after transmission (normal mode)
70
 * ACK is sampled from slave
71
 * ------------------------------------------------------------ */
72
void neo430_twi_mack_disable(void) {
73
 
74
  TWI_CT &= ~(1 << TWI_CT_MACK);
75
}
76
 
77
 
78
/* ------------------------------------------------------------
79
 * INFO Generate START condition and send first byte (address & R/W)
80
 * PARAM 8-bit including 7-bit address and read/write bit
81
 * RETURN 0 if ACK received, 1 if no valid ACK was received
82
 * ------------------------------------------------------------ */
83
uint8_t neo430_twi_start_trans(uint8_t a) {
84
 
85
  neo430_twi_generate_start(); // generate START condition
86
 
87
  TWI_DATA = (uint16_t)a; // send address
88
  while(TWI_CT & (1 << TWI_CT_BUSY)); // wait until idle again
89
 
90
  // check for ACK/NACK
91
  if (TWI_DATA & (1 << TWI_DT_ACK))
92
    return 0; // ACK received
93
  else
94
    return 1; // NACK received
95
}
96
 
97
 
98
/* ------------------------------------------------------------
99
 * INFO Send data and also sample input data
100
 * PARAM Data byte to be sent
101
 * RETURN 0 if ACK received, 1 if no valid ACK was received
102
 * ------------------------------------------------------------ */
103
uint8_t neo430_twi_trans(uint8_t d) {
104
 
105
  TWI_DATA = (uint16_t)d; // send data
106
  while(TWI_CT & (1 << TWI_CT_BUSY)); // wait until idle again
107
 
108
  // check for ACK/NACK
109
  if (TWI_DATA & (1 << TWI_DT_ACK))
110
    return 0; // ACK received
111
  else
112
    return 1; // NACK received
113
}
114
 
115
 
116
/* ------------------------------------------------------------
117
 * INFO Get rx data from previous transmission
118
 * RETURN Last received data byte
119
 * ------------------------------------------------------------ */
120
uint8_t neo430_twi_get_data(void) {
121
 
122
  return (uint8_t)TWI_DATA; // get RX data from previous transmission
123
}
124
 
125
 
126
/* ------------------------------------------------------------
127
 * INFO Generate STOP condition
128
 * ------------------------------------------------------------ */
129
void neo430_twi_generate_stop(void) {
130
 
131
  TWI_CT |= (1 << TWI_CT_STOP); // generate STOP condition
132
  while(TWI_CT & (1 << TWI_CT_BUSY)); // wait until idle again
133
}
134
 
135
 
136
/* ------------------------------------------------------------
137
 * INFO Generate START condition
138
 * ------------------------------------------------------------ */
139
void neo430_twi_generate_start(void) {
140
 
141
  TWI_CT |= (1 << TWI_CT_START); // generate START condition
142
  while(TWI_CT & (1 << TWI_CT_BUSY)); // wait until idle again
143
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.