OpenCores
URL https://opencores.org/ocsvn/neopixel_fpga/neopixel_fpga/trunk

Subversion Repositories neopixel_fpga

[/] [neopixel_fpga/] [trunk/] [rtl/] [Makefile] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 splinedriv
PIN_DEF = ws2812_ctl.pcf
2
DEVICE = hx8k
3
 
4
all: $(PROJ).rpt $(PROJ).bin
5
 
6
%.blif: %.v
7
        yosys -p 'synth_ice40 -top $(PROJ) -json $(PROJ).json -blif $@' $<
8
 
9
%.asc: $(PIN_DEF) %.blif
10
        nextpnr-ice40 -r --$(DEVICE) --package cb132 --json $(PROJ).json --asc $(PROJ).asc --opt-timing --pcf $(PIN_DEF)
11
 
12
%.bin: %.asc
13
        icepack $< $@
14
 
15
%.rpt: %.asc
16
        icetime -d $(DEVICE) -mtr $@ $<
17
 
18
%_tb: %_tb.v %.v
19
        iverilog -o $@ $^
20
 
21
%_tb.vcd: %_tb
22
        vvp -N $< +vcd=$@
23
 
24
%_syn.v: %.blif
25
        yosys -p 'read_blif -wideports $^; write_verilog $@'
26
 
27
%_syntb: %_tb.v %_syn.v
28
        iverilog -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v`
29
 
30
%_syntb.vcd: %_syntb
31
        vvp -N $< +vcd=$@
32
 
33
sim: $(PROJ)_tb.vcd
34
 
35
postsim: $(PROJ)_syntb.vcd
36
 
37
prog: $(PROJ).bin
38
        iceprog $<
39
 
40
burn: $(PROJ).bin
41
        iceFunprog $<
42
 
43
sudo-prog: $(PROJ).bin
44
        @echo 'Executing prog as root!!!'
45
        sudo iceprog $<
46
 
47
clean:
48
        rm -f $(PROJ).blif $(PROJ).asc $(PROJ).rpt $(PROJ).bin $(PROJ).json
49
 
50
.SECONDARY:
51
.PHONY: all prog clean

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.