OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32) (RISC-V-compliant)
2 2 zero_gravi
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7
 
8
## Table of Content
9
 
10
* [Introduction](#Introduction)
11
* [Features](#Features)
12
* [FPGA Implementation Results](#FPGA-Implementation-Results)
13
* [Performance](#Performance)
14
* [Top Entity](#Top-Entity)
15
* [**Getting Started**](#Getting-Started)
16 9 zero_gravi
* [Contribute](#Contribute)
17 2 zero_gravi
* [Legal](#Legal)
18
 
19
 
20
 
21
## Introduction
22
 
23 14 zero_gravi
The **NEORV32 processor** is a customizable full-scale mikrocontroller-like processor system based on the RISC-V-compliant
24 15 zero_gravi
`rv32i` NEORV32 CPU with optional `M`, `E`, `C` and `U`, `Zicsr` and `Zifencei` extensions and optional physical memory protection (PMP).
25
The CPU was built from scratch and is compliant to the *Unprivileged ISA Specification Version 2.2* and a subset of the *Privileged Architecture
26 14 zero_gravi
Specification Version 1.12-draft*.
27 2 zero_gravi
 
28 14 zero_gravi
The **processor** is intended as auxiliary processor within a larger SoC designs or as stand-alone
29
custom microcontroller. Its top entity can be directly synthesized for any FPGA without modifications and
30
provides a full-scale RISC-V based microcontroller with common peripherals like GPIO, serial interfaces for
31
UART, I²C and SPI, timers, external bus interface and embedded memories. All optional features beyond the
32
base CPU can be enabled and configured via VHDL generics.
33 11 zero_gravi
 
34 14 zero_gravi
Alternatively, you can use the **NEORV32 CPU** as stand-alone central processing unit and build your own microcontroller
35
or processor system around it.
36 2 zero_gravi
 
37 14 zero_gravi
This project comes with a complete software ecosystem that features core libraries for high-level
38
usage of the provided functions and peripherals, application makefiles, a runtime environment and
39
several example programs. All software source files provide a doxygen-based documentary.
40 2 zero_gravi
 
41 14 zero_gravi
The project is intended to work "out of the box". Just synthesize the test setup from this project,
42
upload it to your FPGA board of choice and start playing with the NEORV32. If you do not want to
43
[compile the GCC toolchains](https://github.com/riscv/riscv-gnu-toolchain) by yourself, you can also
44
download [pre-compiled toolchains](https://github.com/stnolting/riscv_gcc_prebuilt) for Linux.
45 2 zero_gravi
 
46
For more information take a look a the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
47
 
48 15 zero_gravi
###  Key Features
49 2 zero_gravi
 
50 15 zero_gravi
- RISC-V-compliant `rv32i` CPU with optional `C`, `E`, `M`, `U`, `Zicsr`, `rv32Zifencei` and PMP (physical memory protection) extensions
51
- GCC-based toolchain ([pre-compiled rv32i and rv32 etoolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
52
- Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
53
- [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
54
- Detailed [datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
55
- Completely described in behavioral, platform-independent VHDL – no primitives, macros, etc.
56
- Fully synchronous design, no latches, no gated clocks
57
- Small hardware footprint and high operating frequency
58
- Highly configurable CPU and processor setup
59
 
60 2 zero_gravi
### Design Principles
61
 
62
 * From zero to main(): Completely open source and documented.
63
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
64
 * Easy to use – working out of the box.
65
 * Clean synchronous design, no wacky combinatorial interfaces.
66
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
67
 
68
 
69
### Status
70 3 zero_gravi
 
71 6 zero_gravi
The processor is synthesizable (tested with Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/LSE) and can successfully execute
72
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the CoreMark benchmark.
73 2 zero_gravi
 
74 8 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
75 2 zero_gravi
 
76 11 zero_gravi
| Project component                                                               | CI status | Note     |
77
|:--------------------------------------------------------------------------------|:----------|:---------|
78 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
79
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
80
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
81 6 zero_gravi
 
82
 
83 12 zero_gravi
### Non RISC-V-Compliant Issues
84 7 zero_gravi
 
85 14 zero_gravi
* No exception is triggered for the `E` CPU extension when using registers above `x15` (*needs fixing*)
86 12 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of implemented CPU extensions during runtime
87 14 zero_gravi
* `mcause` CSR is read-only
88 12 zero_gravi
* The `[m]cycleh` and `[m]instreth` CSR counters are only 20-bit wide (in contrast to original 32-bit)
89 15 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode and only up to 8 regions
90 7 zero_gravi
 
91
 
92 14 zero_gravi
### Custom CPU Extensions
93
 
94 15 zero_gravi
The custom extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
95
 
96 14 zero_gravi
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
97
 
98
 
99 9 zero_gravi
### To-Do / Wish List
100 7 zero_gravi
 
101 16 zero_gravi
- Add AXI(-Lite) bridges
102 13 zero_gravi
- Option to use DSP-based multiplier in `M` extension (would be so much faster)
103 9 zero_gravi
- Synthesis results for more platforms
104 7 zero_gravi
- Port Dhrystone benchmark
105 13 zero_gravi
- Implement atomic operations (`A` extension) and floating-point operations (`F` extension)
106
- Maybe port an RTOS (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr), [freeRTOS](https://www.freertos.org) or [RIOT](https://www.riot-os.org))
107
- Make a 64-bit branch someday
108 7 zero_gravi
 
109
 
110
 
111 2 zero_gravi
## Features
112
 
113
### Processor Features
114
 
115 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
116
 
117 15 zero_gravi
Highly customizable processor configuration:
118
- Optional processor-internal data and instruction memories (DMEM/IMEM)
119
- Optional internal bootloader with UART console and automatic SPI flash boot option
120
- Optional machine system timer (MTIME), RISC-V-compliant
121
- Optional universal asynchronous receiver and transmitter (UART)
122
- Optional 8/16/24/32-bit serial peripheral interface controller (SPI) with 8 dedicated chip select lines
123
- Optional two wire serial interface controller (TWI), compatible to the I²C standard
124
- Optional general purpose parallel IO port (GPIO), 16xOut & 16xIn, with pin-change interrupt
125
- Optional 32-bit external bus interface, Wishbone b4 compliant (WISHBONE)
126
- Optional watchdog timer (WDT)
127
- Optional PWM controller with 4 channels and 8-bit duty cycle resolution (PWM)
128
- Optional GARO-based true random number generator (TRNG)
129
- Optional dummy device (DEVNULL) (can be used for *fast* simulation console output)
130
- System configuration information memory to check hardware configuration by software (SYSINFO)
131 2 zero_gravi
 
132
### CPU Features
133
 
134 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_cpu.png)
135
 
136
The CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
137 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
138
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
139 2 zero_gravi
 
140 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
141
the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
142
 
143
 
144
**General**:
145 12 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; single processor-bus via bus switch)
146
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
147 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
148
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
149 11 zero_gravi
 
150
 
151 3 zero_gravi
**RV32I base instruction set** (`I` extension):
152 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
153 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
154 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
155 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
156 2 zero_gravi
 
157 3 zero_gravi
**Compressed instructions** (`C` extension):
158 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
159 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
160 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
161
  * Misc instructions: `C.EBREAK` (only with `Zicsr` extension)
162
 
163 3 zero_gravi
**Embedded CPU version** (`E` extension):
164 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
165
 
166 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
167 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
168
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
169
 
170 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
171 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
172
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
173 8 zero_gravi
  * System instructions: `MRET` `WFI`
174 12 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[h]` `time[h]`
175 14 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause`(read-only!) `mtval` `mip` `mvendorid` `marchid` `mimpid` `mhartid`
176 2 zero_gravi
  * Supported exceptions and interrupts:
177
    * Misaligned instruction address
178
    * Instruction access fault
179
    * Illegal instruction
180 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
181 2 zero_gravi
    * Load address misaligned
182
    * Load access fault
183 4 zero_gravi
    * Store address misaligned
184 2 zero_gravi
    * Store access fault
185 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
186 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
187
    * Machine software interrupt `msi` (via external signal)
188
    * Machine external interrupt `mei` (via external signal)
189
    * Four fast interrupt requests (custom extension)
190 2 zero_gravi
 
191 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
192 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
193 15 zero_gravi
 
194 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
195 15 zero_gravi
  * System instructions: `FENCEI`
196 8 zero_gravi
 
197 15 zero_gravi
**Physical memory protection** (`PMP`, requires `Zicsr` extension):
198
  * Additional machine CSRs: `pmpcfgx` `pmpaddrx`
199 2 zero_gravi
 
200 15 zero_gravi
 
201 2 zero_gravi
## FPGA Implementation Results
202
 
203
This chapter shows exemplary implementation results of the NEORV32 processor for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
204
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
205 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
206 15 zero_gravi
of the CPU's generics is assumed (no PMP). No constraints were used at all.
207 2 zero_gravi
 
208 11 zero_gravi
### CPU
209
 
210 14 zero_gravi
Results generated for hardware version: `1.3.0.0`
211 2 zero_gravi
 
212 12 zero_gravi
| CPU Configuration                | LEs        | FFs      | Memory bits | DSPs | f_max   |
213
|:---------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
214 14 zero_gravi
| `rv32i`                          |       1122 |      481 |       2048  |    0 | 110 MHz |
215
| `rv32i`   + `Zicsr` + `Zifencei` |       1891 |      819 |       2048  |    0 | 100 MHz |
216
| `rv32im`  + `Zicsr` + `Zifencei` |       2496 |     1067 |       2048  |    0 | 100 MHz |
217
| `rv32imc` + `Zicsr` + `Zifencei` |       2734 |     1066 |       2048  |    0 | 100 MHz |
218
| `rv32emc` + `Zicsr` + `Zifencei` |       2722 |     1066 |       1024  |    0 | 100 MHz |
219 2 zero_gravi
 
220 6 zero_gravi
### Processor-Internal Peripherals and Memories
221 2 zero_gravi
 
222 14 zero_gravi
Results generated for hardware version: `1.3.0.0`
223 11 zero_gravi
 
224 14 zero_gravi
| Module    | Description                                     | LEs | FFs | Memory bits | DSPs |
225
|:----------|:------------------------------------------------|:---:|:---:|:-----------:|:----:|
226
| BOOT ROM  | Bootloader ROM (4kB)                            |   4 |   1 |      32 768 |    0 |
227
| BUSSWITCH | Mux for CPU I & D interfaces                    |  62 |   8 |           0 |    0 |
228
| DEVNULL   | Dummy device                                    |   3 |   1 |           0 |    0 |
229
| DMEM      | Processor-internal data memory (8kB)            |  12 |   2 |      65 536 |    0 |
230
| GPIO      | General purpose input/output ports              |  40 |  33 |           0 |    0 |
231
| IMEM      | Processor-internal instruction memory (16kb)    |   7 |   2 |     131 072 |    0 |
232
| MTIME     | Machine system timer                            | 266 | 166 |           0 |    0 |
233
| PWM       | Pulse-width modulation controller               |  72 |  69 |           0 |    0 |
234
| SPI       | Serial peripheral interface                     | 198 | 125 |           0 |    0 |
235
| SYSINFO   | System configuration information memory         |  10 |   9 |           0 |    0 |
236
| TRNG      | True random number generator                    | 105 |  93 |           0 |    0 |
237
| TWI       | Two-wire interface                              |  75 |  44 |           0 |    0 |
238
| UART      | Universal asynchronous receiver/transmitter     | 153 | 108 |           0 |    0 |
239
| WDT       | Watchdog timer                                  |  59 |  45 |           0 |    0 |
240 2 zero_gravi
 
241
 
242 11 zero_gravi
### Exemplary FPGA Setups
243 6 zero_gravi
 
244 11 zero_gravi
Exemplary implementation results for different FPGA platforms. The processor setup uses *all provided peripherals*,
245 15 zero_gravi
no external memory interface, no PMP and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
246 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
247 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
248 6 zero_gravi
 
249 14 zero_gravi
Results generated for hardware version: `1.3.0.0`
250 6 zero_gravi
 
251 14 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain               | Impl. strategy |CPU                               | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency      |
252
|:--------|:----------------------------------|:-----------------|:------------------------|:---------------|:---------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|---------------:|
253
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 19.1 | balanced       | `rv32imc` + `Zicsr` + `Zifencei` | 3934 (18%) | 1799  (8%) | 0 (0%) | 231424 (38%) |          - |        - |        100 MHz |
254
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (LSE)       | timing         | `rv32ic`  + `Zicsr` + `Zifencei` | 4895 (92%) | 1636 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) | *c* 22.875 MHz |
255
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2           | default        | `rv32imc` + `Zicsr` + `Zifencei` | 2432 (12%) | 1852  (4%) | 0 (0%) |            - |    8 (16%) |        - |    *c* 100 MHz |
256 2 zero_gravi
 
257 11 zero_gravi
**Notes**
258
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DEMEM (each 64kb).
259 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
260
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
261 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
262
bootloader to store and automatically boot an application program after reset (both tested successfully).
263 2 zero_gravi
 
264
## Performance
265
 
266
### CoreMark Benchmark
267
 
268
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
269
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
270
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
271
 
272 14 zero_gravi
Results generated for hardware version: `1.3.0.0`
273 2 zero_gravi
 
274
~~~
275
**Configuration**
276 12 zero_gravi
Hardware:    32kB IMEM, 16kB DMEM, 100MHz clock
277
CoreMark:    2000 iterations, MEM_METHOD is MEM_STACK
278 14 zero_gravi
Compiler:    RISCV32-GCC 10.1.0
279 12 zero_gravi
Peripherals: UART for printing the results
280 2 zero_gravi
~~~
281
 
282 14 zero_gravi
| CPU                              | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
283
|:---------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
284
| `rv32i`   + `Zicsr` + `Zifencei` |    21 600 bytes |        `-O2` |          27.02 |        0.2702 |
285
| `rv32im`  + `Zicsr` + `Zifencei` |    20 976 bytes |        `-O2` |          57.14 |        0.5714 |
286
| `rv32imc` + `Zicsr` + `Zifencei` |    16 348 bytes |        `-O2` |          57.14 |        0.5714 |
287 2 zero_gravi
 
288
 
289
### Instruction Cycles
290
 
291 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
292 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
293
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
294 2 zero_gravi
CPU extensions.
295
 
296
Please note that the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
297
`M` extension use a bit-serial approach and require several cycles for completion.
298
 
299 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
300 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
301 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
302
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O2`.
303 2 zero_gravi
 
304 14 zero_gravi
Results generated for hardware version: `1.3.0.0`
305 2 zero_gravi
 
306 12 zero_gravi
| CPU                              | Required Clock Cycles | Executed Instructions | Average CPI |
307
|:---------------------------------|----------------------:|----------------------:|:-----------:|
308 14 zero_gravi
| `rv32i`   + `Zicsr` + `Zifencei` |         7 433 933 906 |         1 494 298 800 |        4.97 |
309
| `rv32im`  + `Zicsr` + `Zifencei` |         3 589 861 906 |           628 281 454 |        5.71 |
310
| `rv32imc` + `Zicsr` + `Zifencei` |         3 587 131 226 |           628 282 016 |        5.70 |
311 2 zero_gravi
 
312
 
313 12 zero_gravi
 
314 14 zero_gravi
## Top Entities
315 2 zero_gravi
 
316 14 zero_gravi
The top entity of the **processor** is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) (from the `rtl/core` folder).
317 2 zero_gravi
Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
318
(except for the TWI signals, which are of type *std_logic*).
319
 
320 14 zero_gravi
The top entity of the **CPU** is [**neorv32_cpu.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd) (from the `rtl/core` folder).
321 16 zero_gravi
All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively.
322 14 zero_gravi
 
323
Use the generics to configure the processor/CPU according to your needs. Each generic is initilized with the default configuration.
324 2 zero_gravi
Detailed information regarding the signals and configuration generics can be found in the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
325
 
326 14 zero_gravi
Alternative top entities can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder.
327
 
328
### Processor
329
 
330 2 zero_gravi
```vhdl
331
entity neorv32_top is
332
  generic (
333
    -- General --
334 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
335 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
336
    CSR_COUNTERS_USE             : boolean := true;   -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
337 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
338 2 zero_gravi
    -- RISC-V CPU Extensions --
339 14 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
340 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
341 14 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
342 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
343 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
344
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
345 15 zero_gravi
    -- Physical Memory Protection (PMP) --
346
    PMP_USE                      : boolean := false;  -- implement PMP?
347 16 zero_gravi
    PMP_NUM_REGIONS              : natural := 4;      -- number of regions (max 8)
348
    PMP_GRANULARITY              : natural := 14;     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
349 2 zero_gravi
    -- Memory configuration: Instruction memory --
350 8 zero_gravi
    MEM_ISPACE_BASE              : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
351
    MEM_ISPACE_SIZE              : natural := 16*1024; -- total size of instruction memory space in byte
352 14 zero_gravi
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
353 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
354 14 zero_gravi
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
355 2 zero_gravi
    -- Memory configuration: Data memory --
356 8 zero_gravi
    MEM_DSPACE_BASE              : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
357
    MEM_DSPACE_SIZE              : natural := 8*1024; -- total size of data memory space in byte
358
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
359
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
360 2 zero_gravi
    -- Memory configuration: External memory interface --
361 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
362
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
363 14 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout
364 2 zero_gravi
    -- Processor peripherals --
365 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
366
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
367
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
368
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
369
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
370
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
371
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
372
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
373
    IO_DEVNULL_USE               : boolean := true    -- implement dummy device (DEVNULL)?
374 2 zero_gravi
  );
375
  port (
376
    -- Global control --
377 14 zero_gravi
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
378
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
379 2 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
380 14 zero_gravi
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
381
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
382
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
383
    wb_we_o    : out std_ulogic; -- read/write
384
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
385
    wb_stb_o   : out std_ulogic; -- strobe
386
    wb_cyc_o   : out std_ulogic; -- valid cycle
387
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
388
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
389 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
390 14 zero_gravi
    fence_o    : out std_ulogic; -- indicates an executed FENCE operation
391
    fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
392 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
393 14 zero_gravi
    gpio_o     : out std_ulogic_vector(15 downto 0); -- parallel output
394
    gpio_i     : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
395 2 zero_gravi
    -- UART (available if IO_UART_USE = true) --
396 14 zero_gravi
    uart_txd_o : out std_ulogic; -- UART send data
397
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
398 2 zero_gravi
    -- SPI (available if IO_SPI_USE = true) --
399 14 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
400
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
401
    spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
402
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
403 2 zero_gravi
    -- TWI (available if IO_TWI_USE = true) --
404 14 zero_gravi
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
405
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
406 2 zero_gravi
    -- PWM (available if IO_PWM_USE = true) --
407 14 zero_gravi
    pwm_o      : out std_ulogic_vector(03 downto 0); -- pwm channels
408
    -- Interrupts --
409
    msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
410
    mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
411 2 zero_gravi
  );
412
end neorv32_top;
413
```
414
 
415 14 zero_gravi
### CPU
416 2 zero_gravi
 
417 14 zero_gravi
```vhdl
418
entity neorv32_cpu is
419
  generic (
420
    -- General --
421
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
422
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
423
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
424
    -- RISC-V CPU Extensions --
425
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
426
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
427
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
428 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
429 14 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
430
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
431 15 zero_gravi
    -- Physical Memory Protection (PMP) --
432
    PMP_USE                      : boolean := false; -- implement PMP?
433 16 zero_gravi
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
434
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
435 14 zero_gravi
    -- Bus Interface --
436
    BUS_TIMEOUT                  : natural := 15     -- cycles after which a valid bus access will timeout
437
  );
438
  port (
439
    -- global control --
440
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
441
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
442
    -- instruction bus interface --
443
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
444
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
445
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
446
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
447
    i_bus_we_o     : out std_ulogic; -- write enable
448
    i_bus_re_o     : out std_ulogic; -- read enable
449
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
450
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
451
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
452
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
453
    -- data bus interface --
454
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
455
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
456
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
457
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
458
    d_bus_we_o     : out std_ulogic; -- write enable
459
    d_bus_re_o     : out std_ulogic; -- read enable
460
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
461
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
462
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
463
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
464
    -- system time input from MTIME --
465
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
466
    -- interrupts (risc-v compliant) --
467
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
468
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
469
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
470
    -- fast interrupts (custom) --
471
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
472
  );
473
end neorv32_cpu;
474
```
475 2 zero_gravi
 
476 14 zero_gravi
 
477
 
478 2 zero_gravi
## Getting Started
479
 
480
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
481
 
482
[![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
483
 
484
 
485 14 zero_gravi
### Toolchain
486 2 zero_gravi
 
487
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
488
and build the toolchain by yourself, or you can download a prebuilt one and install it.
489
 
490 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
491
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
492 2 zero_gravi
 
493 14 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain.
494
Make sure to use the `ilp32` or `ilp32e` ABI.
495 2 zero_gravi
 
496 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
497
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
498 2 zero_gravi
 
499
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
500
 
501
 
502 11 zero_gravi
### Dowload the NEORV32 and Create a Hardware Project
503 2 zero_gravi
 
504 12 zero_gravi
Get the sources of the NEORV32 Processor project. You can either download a [release](https://github.com/stnolting/neorv32/releases)
505
or get the most recent version of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip) or using `git clone` (suggested for easy project updates via `git pull`):
506
 
507 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
508
 
509 12 zero_gravi
Create a new project with your FPGA design tool of choice and add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
510
folder to this project. Make sure to add them to a **new library** called `neorv32`.
511 2 zero_gravi
 
512 11 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in your own project or you
513
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) (from the project's
514
[`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder) as top entity.
515 12 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART, clock, reset and some GPIO output sginals are
516 11 zero_gravi
propagated (basically, its a FPGA "hello world" example):
517 2 zero_gravi
 
518
```vhdl
519 9 zero_gravi
  entity neorv32_test_setup is
520
    port (
521
      -- Global control --
522
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
523
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
524
      -- GPIO --
525
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
526
      -- UART --
527
      uart_txd_o : out std_ulogic; -- UART send data
528
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
529
    );
530
  end neorv32_test_setup;
531 2 zero_gravi
```
532
 
533
 
534
### Compiling and Uploading One of the Example Projects
535
 
536 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
537 2 zero_gravi
`sw/example/blink_led` and run:
538
 
539
    neorv32/sw/example/blink_led$ make check
540
 
541 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
542
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
543
executable `neorv32_exe.bin` in the same folder.
544 2 zero_gravi
 
545
    neorv32/sw/example/blink_led$ make clean_all compile
546
 
547
Connect your FPGA board via UART to you computer and open the according port to interface with the NEORV32 bootloader. The bootloader
548
uses the following default UART configuration:
549
 
550
- 19200 Baud
551
- 8 data bits
552
- 1 stop bit
553
- No parity bits
554
- No transmission / flow control protocol (raw bytes only)
555
- Newline on `\r\n` (carriage return & newline)
556
 
557 9 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` file and run your application image.
558 2 zero_gravi
 
559 9 zero_gravi
```
560
  << NEORV32 Bootloader >>
561
 
562
  BLDV: Jul  6 2020
563
  HWV:  1.0.1.0
564
  CLK:  0x0134FD90 Hz
565 13 zero_gravi
  USER: 0x0001CE40
566 9 zero_gravi
  MISA: 0x42801104
567
  CONF: 0x03FF0035
568
  IMEM: 0x00010000 bytes @ 0x00000000
569
  DMEM: 0x00010000 bytes @ 0x80000000
570
 
571
  Autoboot in 8s. Press key to abort.
572
  Aborted.
573
 
574
  Available CMDs:
575
   h: Help
576
   r: Restart
577
   u: Upload
578
   s: Store to flash
579
   l: Load from flash
580
   e: Execute
581
  CMD:> u
582
  Awaiting neorv32_exe.bin... OK
583
  CMD:> e
584
  Booting...
585
 
586
  Blinking LED demo program
587
```
588 2 zero_gravi
 
589 9 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
590 2 zero_gravi
 
591
 
592
 
593 9 zero_gravi
## Contribute
594 2 zero_gravi
 
595 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
596
to open a [new issue](https://github.com/stnolting/neorv32/issues).
597 2 zero_gravi
 
598 9 zero_gravi
If you want to get involved you can also directly drop me a line (mailto:stnolting@gmail.com).
599
Please also check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md).
600 2 zero_gravi
 
601
 
602 9 zero_gravi
 
603 11 zero_gravi
## Legal
604 2 zero_gravi
 
605 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
606 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
607
 
608
#### Citation
609
 
610 2 zero_gravi
If you are using the NEORV32 Processor in some kind of publication, please cite it as follows:
611
 
612
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
613
 
614 9 zero_gravi
#### BSD 3-Clause License
615 2 zero_gravi
 
616
Copyright (c) 2020, Stephan Nolting. All rights reserved.
617
 
618
Redistribution and use in source and binary forms, with or without modification, are
619
permitted provided that the following conditions are met:
620
 
621
1. Redistributions of source code must retain the above copyright notice, this list of
622
conditions and the following disclaimer.
623
2. Redistributions in binary form must reproduce the above copyright notice, this list of
624
conditions and the following disclaimer in the documentation and/or other materials
625
provided with the distribution.
626
3. Neither the name of the copyright holder nor the names of its contributors may be used to
627
endorse or promote products derived from this software without specific prior written
628
permission.
629
 
630
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
631
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
632
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
633
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
634
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
635
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
636
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
637
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
638
OF THE POSSIBILITY OF SUCH DAMAGE.
639
 
640
 
641 9 zero_gravi
#### Limitation of Liability for External Links
642
 
643
Our website contains links to the websites of third parties („external links“). As the
644
content of these websites is not under our control, we cannot assume any liability for
645
such external content. In all cases, the provider of information of the linked websites
646
is liable for the content and accuracy of the information provided. At the point in time
647
when the links were placed, no infringements of the law were recognisable to us. As soon
648
as an infringement of the law becomes known to us, we will immediately remove the
649
link in question.
650
 
651
 
652 11 zero_gravi
#### Proprietary  Notice
653 9 zero_gravi
 
654 2 zero_gravi
"Windows" is a trademark of Microsoft Corporation.
655
 
656
"Artix" and "Vivado" are trademarks of Xilinx Inc.
657
 
658 11 zero_gravi
"Cyclone", "Quartus Prime", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
659 2 zero_gravi
 
660 11 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx, Inc.
661
 
662 2 zero_gravi
"iCE40", "UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
663
 
664 13 zero_gravi
"AXI" and "AXI-Lite" are trademarks of Arm Holdings plc.
665 2 zero_gravi
 
666
 
667 11 zero_gravi
## Acknowledgement
668 9 zero_gravi
 
669 11 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free :heart:
670
 
671 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
672
 
673
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
674
 
675
 
676
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
677
 
678
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
679
 
680
 
681 14 zero_gravi
 
682 6 zero_gravi
Made with :coffee: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.