OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32) (RISC-V-compliant)
2 2 zero_gravi
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7
 
8
## Table of Content
9
 
10
* [Introduction](#Introduction)
11
* [Features](#Features)
12
* [FPGA Implementation Results](#FPGA-Implementation-Results)
13
* [Performance](#Performance)
14
* [Top Entity](#Top-Entity)
15
* [**Getting Started**](#Getting-Started)
16 9 zero_gravi
* [Contribute](#Contribute)
17 2 zero_gravi
* [Legal](#Legal)
18
 
19
 
20
 
21
## Introduction
22
 
23 14 zero_gravi
The **NEORV32 processor** is a customizable full-scale mikrocontroller-like processor system based on the RISC-V-compliant
24 15 zero_gravi
`rv32i` NEORV32 CPU with optional `M`, `E`, `C` and `U`, `Zicsr` and `Zifencei` extensions and optional physical memory protection (PMP).
25
The CPU was built from scratch and is compliant to the *Unprivileged ISA Specification Version 2.2* and a subset of the *Privileged Architecture
26 14 zero_gravi
Specification Version 1.12-draft*.
27 2 zero_gravi
 
28 14 zero_gravi
The **processor** is intended as auxiliary processor within a larger SoC designs or as stand-alone
29
custom microcontroller. Its top entity can be directly synthesized for any FPGA without modifications and
30
provides a full-scale RISC-V based microcontroller with common peripherals like GPIO, serial interfaces for
31
UART, I²C and SPI, timers, external bus interface and embedded memories. All optional features beyond the
32
base CPU can be enabled and configured via VHDL generics.
33 11 zero_gravi
 
34 14 zero_gravi
Alternatively, you can use the **NEORV32 CPU** as stand-alone central processing unit and build your own microcontroller
35
or processor system around it.
36 2 zero_gravi
 
37 14 zero_gravi
This project comes with a complete software ecosystem that features core libraries for high-level
38
usage of the provided functions and peripherals, application makefiles, a runtime environment and
39
several example programs. All software source files provide a doxygen-based documentary.
40 2 zero_gravi
 
41 14 zero_gravi
The project is intended to work "out of the box". Just synthesize the test setup from this project,
42
upload it to your FPGA board of choice and start playing with the NEORV32. If you do not want to
43
[compile the GCC toolchains](https://github.com/riscv/riscv-gnu-toolchain) by yourself, you can also
44
download [pre-compiled toolchains](https://github.com/stnolting/riscv_gcc_prebuilt) for Linux.
45 2 zero_gravi
 
46
For more information take a look a the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
47
 
48 15 zero_gravi
###  Key Features
49 2 zero_gravi
 
50 19 zero_gravi
- RISC-V-compliant `rv32i` CPU with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and PMP (physical memory protection) extensions
51
- GCC-based toolchain ([pre-compiled rv32i and rv32e toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
52 15 zero_gravi
- Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
53
- [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
54
- Detailed [datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
55
- Completely described in behavioral, platform-independent VHDL – no primitives, macros, etc.
56
- Fully synchronous design, no latches, no gated clocks
57
- Small hardware footprint and high operating frequency
58
- Highly configurable CPU and processor setup
59
 
60 2 zero_gravi
### Design Principles
61
 
62
 * From zero to main(): Completely open source and documented.
63
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
64
 * Easy to use – working out of the box.
65
 * Clean synchronous design, no wacky combinatorial interfaces.
66 18 zero_gravi
 * Be as small as possible – but with a reasonable size-speed tradeoff.
67 2 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
68
 
69
 
70
### Status
71 3 zero_gravi
 
72 6 zero_gravi
The processor is synthesizable (tested with Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/LSE) and can successfully execute
73
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the CoreMark benchmark.
74 2 zero_gravi
 
75 8 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
76 2 zero_gravi
 
77 11 zero_gravi
| Project component                                                               | CI status | Note     |
78
|:--------------------------------------------------------------------------------|:----------|:---------|
79 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
80
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
81
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
82 6 zero_gravi
 
83
 
84 12 zero_gravi
### Non RISC-V-Compliant Issues
85 7 zero_gravi
 
86 14 zero_gravi
* No exception is triggered for the `E` CPU extension when using registers above `x15` (*needs fixing*)
87 12 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of implemented CPU extensions during runtime
88 14 zero_gravi
* `mcause` CSR is read-only
89 12 zero_gravi
* The `[m]cycleh` and `[m]instreth` CSR counters are only 20-bit wide (in contrast to original 32-bit)
90 18 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
91 7 zero_gravi
 
92
 
93 14 zero_gravi
### Custom CPU Extensions
94
 
95 15 zero_gravi
The custom extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
96
 
97 14 zero_gravi
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
98
 
99
 
100 9 zero_gravi
### To-Do / Wish List
101 7 zero_gravi
 
102 16 zero_gravi
- Add AXI(-Lite) bridges
103 9 zero_gravi
- Synthesis results for more platforms
104 7 zero_gravi
- Port Dhrystone benchmark
105 13 zero_gravi
- Implement atomic operations (`A` extension) and floating-point operations (`F` extension)
106
- Maybe port an RTOS (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr), [freeRTOS](https://www.freertos.org) or [RIOT](https://www.riot-os.org))
107
- Make a 64-bit branch someday
108 7 zero_gravi
 
109
 
110
 
111 2 zero_gravi
## Features
112
 
113
### Processor Features
114
 
115 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
116
 
117 15 zero_gravi
Highly customizable processor configuration:
118
- Optional processor-internal data and instruction memories (DMEM/IMEM)
119
- Optional internal bootloader with UART console and automatic SPI flash boot option
120
- Optional machine system timer (MTIME), RISC-V-compliant
121
- Optional universal asynchronous receiver and transmitter (UART)
122
- Optional 8/16/24/32-bit serial peripheral interface controller (SPI) with 8 dedicated chip select lines
123
- Optional two wire serial interface controller (TWI), compatible to the I²C standard
124
- Optional general purpose parallel IO port (GPIO), 16xOut & 16xIn, with pin-change interrupt
125
- Optional 32-bit external bus interface, Wishbone b4 compliant (WISHBONE)
126
- Optional watchdog timer (WDT)
127
- Optional PWM controller with 4 channels and 8-bit duty cycle resolution (PWM)
128
- Optional GARO-based true random number generator (TRNG)
129
- Optional dummy device (DEVNULL) (can be used for *fast* simulation console output)
130
- System configuration information memory to check hardware configuration by software (SYSINFO)
131 2 zero_gravi
 
132
### CPU Features
133
 
134 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_cpu.png)
135
 
136
The CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
137 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
138
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
139 2 zero_gravi
 
140 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
141
the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
142
 
143
 
144
**General**:
145 12 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; single processor-bus via bus switch)
146
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
147 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
148
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
149 11 zero_gravi
 
150
 
151 3 zero_gravi
**RV32I base instruction set** (`I` extension):
152 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
153 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
154 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
155 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
156 2 zero_gravi
 
157 3 zero_gravi
**Compressed instructions** (`C` extension):
158 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
159 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
160 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
161
  * Misc instructions: `C.EBREAK` (only with `Zicsr` extension)
162
 
163 3 zero_gravi
**Embedded CPU version** (`E` extension):
164 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
165
 
166 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
167 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
168
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
169 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
170
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
171 2 zero_gravi
 
172 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
173 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
174
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
175 8 zero_gravi
  * System instructions: `MRET` `WFI`
176 12 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[h]` `time[h]`
177 14 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause`(read-only!) `mtval` `mip` `mvendorid` `marchid` `mimpid` `mhartid`
178 2 zero_gravi
  * Supported exceptions and interrupts:
179
    * Misaligned instruction address
180
    * Instruction access fault
181
    * Illegal instruction
182 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
183 2 zero_gravi
    * Load address misaligned
184
    * Load access fault
185 4 zero_gravi
    * Store address misaligned
186 2 zero_gravi
    * Store access fault
187 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
188 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
189
    * Machine software interrupt `msi` (via external signal)
190
    * Machine external interrupt `mei` (via external signal)
191
    * Four fast interrupt requests (custom extension)
192 2 zero_gravi
 
193 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
194 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
195 15 zero_gravi
 
196 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
197 17 zero_gravi
  * System instructions: `FENCE.I`
198 8 zero_gravi
 
199 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
200 15 zero_gravi
  * Additional machine CSRs: `pmpcfgx` `pmpaddrx`
201 2 zero_gravi
 
202 15 zero_gravi
 
203 2 zero_gravi
## FPGA Implementation Results
204
 
205
This chapter shows exemplary implementation results of the NEORV32 processor for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
206
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
207 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
208 19 zero_gravi
of the CPU's generics is assumed (e.g., no PMP). No constraints were used at all.
209 2 zero_gravi
 
210 11 zero_gravi
### CPU
211
 
212 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
213 2 zero_gravi
 
214 12 zero_gravi
| CPU Configuration                | LEs        | FFs      | Memory bits | DSPs | f_max   |
215
|:---------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
216 19 zero_gravi
| `rv32i`                          |       1113 |      479 |       2048  |    0 | 109 MHz |
217
| `rv32i`   + `Zicsr` + `Zifencei` |       1851 |      817 |       2048  |    0 | 100 MHz |
218
| `rv32im`  + `Zicsr` + `Zifencei` |       2462 |     1065 |       2048  |    0 | 100 MHz |
219
| `rv32imc` + `Zicsr` + `Zifencei` |       2714 |     1064 |       2048  |    0 | 100 MHz |
220
| `rv32emc` + `Zicsr` + `Zifencei` |       2717 |     1064 |       1024  |    0 | 100 MHz |
221 2 zero_gravi
 
222 6 zero_gravi
### Processor-Internal Peripherals and Memories
223 2 zero_gravi
 
224 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
225 11 zero_gravi
 
226 14 zero_gravi
| Module    | Description                                     | LEs | FFs | Memory bits | DSPs |
227
|:----------|:------------------------------------------------|:---:|:---:|:-----------:|:----:|
228
| BOOT ROM  | Bootloader ROM (4kB)                            |   4 |   1 |      32 768 |    0 |
229
| BUSSWITCH | Mux for CPU I & D interfaces                    |  62 |   8 |           0 |    0 |
230
| DEVNULL   | Dummy device                                    |   3 |   1 |           0 |    0 |
231
| DMEM      | Processor-internal data memory (8kB)            |  12 |   2 |      65 536 |    0 |
232
| GPIO      | General purpose input/output ports              |  40 |  33 |           0 |    0 |
233
| IMEM      | Processor-internal instruction memory (16kb)    |   7 |   2 |     131 072 |    0 |
234
| MTIME     | Machine system timer                            | 266 | 166 |           0 |    0 |
235
| PWM       | Pulse-width modulation controller               |  72 |  69 |           0 |    0 |
236
| SPI       | Serial peripheral interface                     | 198 | 125 |           0 |    0 |
237
| SYSINFO   | System configuration information memory         |  10 |   9 |           0 |    0 |
238
| TRNG      | True random number generator                    | 105 |  93 |           0 |    0 |
239
| TWI       | Two-wire interface                              |  75 |  44 |           0 |    0 |
240
| UART      | Universal asynchronous receiver/transmitter     | 153 | 108 |           0 |    0 |
241
| WDT       | Watchdog timer                                  |  59 |  45 |           0 |    0 |
242 2 zero_gravi
 
243
 
244 11 zero_gravi
### Exemplary FPGA Setups
245 6 zero_gravi
 
246 11 zero_gravi
Exemplary implementation results for different FPGA platforms. The processor setup uses *all provided peripherals*,
247 15 zero_gravi
no external memory interface, no PMP and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
248 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
249 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
250 6 zero_gravi
 
251 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
252 6 zero_gravi
 
253 19 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain               | Impl. strategy |CPU                                | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency      |
254
|:--------|:----------------------------------|:-----------------|:------------------------|:---------------|:----------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|---------------:|
255
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 19.1 | balanced       | `rv32imcu` + `Zicsr` + `Zifencei` | 3800 (17%) | 1706  (8%) | 0 (0%) | 231424 (38%) |          - |        - |        100 MHz |
256
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (LSE)       | timing         | `rv32icu`  + `Zicsr` + `Zifencei` | 4950 (93%) | 1641 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) | *c* 22.875 MHz |
257
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2           | default        | `rv32imcu` + `Zicsr` + `Zifencei` | 2445 (12%) | 1893  (4%) | 0 (0%) |            - |    8 (16%) |        - |    *c* 100 MHz |
258 2 zero_gravi
 
259 11 zero_gravi
**Notes**
260
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DEMEM (each 64kb).
261 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
262
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
263 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
264
bootloader to store and automatically boot an application program after reset (both tested successfully).
265 2 zero_gravi
 
266
## Performance
267
 
268
### CoreMark Benchmark
269
 
270
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
271
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
272
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
273
 
274 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
275 2 zero_gravi
 
276
~~~
277
**Configuration**
278 12 zero_gravi
Hardware:    32kB IMEM, 16kB DMEM, 100MHz clock
279
CoreMark:    2000 iterations, MEM_METHOD is MEM_STACK
280 14 zero_gravi
Compiler:    RISCV32-GCC 10.1.0
281 12 zero_gravi
Peripherals: UART for printing the results
282 2 zero_gravi
~~~
283
 
284 19 zero_gravi
| CPU                  | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
285
|:---------------------|:---------------:|:------------:|:--------------:|:-------------:|
286
| `rv32i`              |    26 764 bytes |        `-O3` |          28.98 |        0.2898 |
287
| `rv32im`             |    25 612 bytes |        `-O3` |          58.82 |        0.5882 |
288
| `rv32imc`            |    19 652 bytes |        `-O3` |          60.61 |        0.6061 |
289
| `rv32imc` + FAST_MUL |    19 652 bytes |        `-O3` |          71.43 |        0.7143 |
290 2 zero_gravi
 
291 19 zero_gravi
The _FAST_MUL_ configuration uses DSPs for the multiplier of the `M` extensions (enabled via the `FAST_MUL_EN` generic).
292 2 zero_gravi
 
293
### Instruction Cycles
294
 
295 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
296 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
297
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
298 2 zero_gravi
CPU extensions.
299
 
300
Please note that the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
301
`M` extension use a bit-serial approach and require several cycles for completion.
302
 
303 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
304 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
305 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
306 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
307 2 zero_gravi
 
308 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
309 2 zero_gravi
 
310 19 zero_gravi
| CPU                  | Required Clock Cycles | Executed Instructions | Average CPI |
311
|:---------------------|----------------------:|----------------------:|:-----------:|
312
| `rv32i`              |         6 984 305 325 |         1 468 927 290 |        4.75 |
313
| `rv32im`             |         3 415 761 325 |           601 565 734 |        5.67 |
314
| `rv32imc`            |         3 398 881 094 |           601 565 832 |        5.65 |
315
| `rv32imc` + FAST_MUL |         2 835 121 094 |           601 565 846 |        4.71 |
316 2 zero_gravi
 
317 19 zero_gravi
The _FAST_MUL_ configuration uses DSPs for the multiplier of the `M` extensions (enabled via the `FAST_MUL_EN` generic).
318 2 zero_gravi
 
319 12 zero_gravi
 
320 14 zero_gravi
## Top Entities
321 2 zero_gravi
 
322 14 zero_gravi
The top entity of the **processor** is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) (from the `rtl/core` folder).
323 2 zero_gravi
Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
324
(except for the TWI signals, which are of type *std_logic*).
325
 
326 14 zero_gravi
The top entity of the **CPU** is [**neorv32_cpu.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd) (from the `rtl/core` folder).
327 16 zero_gravi
All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively.
328 14 zero_gravi
 
329
Use the generics to configure the processor/CPU according to your needs. Each generic is initilized with the default configuration.
330 2 zero_gravi
Detailed information regarding the signals and configuration generics can be found in the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
331
 
332 14 zero_gravi
Alternative top entities can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder.
333
 
334
### Processor
335
 
336 2 zero_gravi
```vhdl
337
entity neorv32_top is
338
  generic (
339
    -- General --
340 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
341 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
342 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
343 2 zero_gravi
    -- RISC-V CPU Extensions --
344 14 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
345 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
346 14 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
347 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
348 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
349
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
350 19 zero_gravi
    -- Extension Options --
351
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
352
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
353 15 zero_gravi
    -- Physical Memory Protection (PMP) --
354 19 zero_gravi
    PMP_USE                      : boolean := false; -- implement PMP?
355
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
356
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
357 2 zero_gravi
    -- Memory configuration: Instruction memory --
358 8 zero_gravi
    MEM_ISPACE_BASE              : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
359
    MEM_ISPACE_SIZE              : natural := 16*1024; -- total size of instruction memory space in byte
360 14 zero_gravi
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
361 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
362 14 zero_gravi
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
363 2 zero_gravi
    -- Memory configuration: Data memory --
364 8 zero_gravi
    MEM_DSPACE_BASE              : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
365
    MEM_DSPACE_SIZE              : natural := 8*1024; -- total size of data memory space in byte
366
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
367
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
368 2 zero_gravi
    -- Memory configuration: External memory interface --
369 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
370
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
371 14 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout
372 2 zero_gravi
    -- Processor peripherals --
373 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
374
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
375
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
376
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
377
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
378
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
379
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
380
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
381
    IO_DEVNULL_USE               : boolean := true    -- implement dummy device (DEVNULL)?
382 2 zero_gravi
  );
383
  port (
384
    -- Global control --
385 14 zero_gravi
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
386
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
387 2 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
388 14 zero_gravi
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
389
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
390
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
391
    wb_we_o    : out std_ulogic; -- read/write
392
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
393
    wb_stb_o   : out std_ulogic; -- strobe
394
    wb_cyc_o   : out std_ulogic; -- valid cycle
395
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
396
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
397 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
398 14 zero_gravi
    fence_o    : out std_ulogic; -- indicates an executed FENCE operation
399
    fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
400 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
401 14 zero_gravi
    gpio_o     : out std_ulogic_vector(15 downto 0); -- parallel output
402
    gpio_i     : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
403 2 zero_gravi
    -- UART (available if IO_UART_USE = true) --
404 14 zero_gravi
    uart_txd_o : out std_ulogic; -- UART send data
405
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
406 2 zero_gravi
    -- SPI (available if IO_SPI_USE = true) --
407 14 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
408
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
409
    spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
410
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
411 2 zero_gravi
    -- TWI (available if IO_TWI_USE = true) --
412 14 zero_gravi
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
413
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
414 2 zero_gravi
    -- PWM (available if IO_PWM_USE = true) --
415 14 zero_gravi
    pwm_o      : out std_ulogic_vector(03 downto 0); -- pwm channels
416
    -- Interrupts --
417
    msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
418
    mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
419 2 zero_gravi
  );
420
end neorv32_top;
421
```
422
 
423 14 zero_gravi
### CPU
424 2 zero_gravi
 
425 14 zero_gravi
```vhdl
426
entity neorv32_cpu is
427
  generic (
428
    -- General --
429
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
430
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
431
    -- RISC-V CPU Extensions --
432
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
433
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
434
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
435 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
436 14 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
437
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
438 19 zero_gravi
    -- Extension Options --
439
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
440
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
441 15 zero_gravi
    -- Physical Memory Protection (PMP) --
442
    PMP_USE                      : boolean := false; -- implement PMP?
443 16 zero_gravi
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
444
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
445 14 zero_gravi
    -- Bus Interface --
446
    BUS_TIMEOUT                  : natural := 15     -- cycles after which a valid bus access will timeout
447
  );
448
  port (
449
    -- global control --
450
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
451
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
452
    -- instruction bus interface --
453
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
454
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
455
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
456
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
457
    i_bus_we_o     : out std_ulogic; -- write enable
458
    i_bus_re_o     : out std_ulogic; -- read enable
459
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
460
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
461
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
462
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
463
    -- data bus interface --
464
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
465
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
466
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
467
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
468
    d_bus_we_o     : out std_ulogic; -- write enable
469
    d_bus_re_o     : out std_ulogic; -- read enable
470
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
471
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
472
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
473
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
474
    -- system time input from MTIME --
475
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
476
    -- interrupts (risc-v compliant) --
477
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
478
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
479
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
480
    -- fast interrupts (custom) --
481
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
482
  );
483
end neorv32_cpu;
484
```
485 2 zero_gravi
 
486 14 zero_gravi
 
487
 
488 2 zero_gravi
## Getting Started
489
 
490
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
491
 
492
[![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
493
 
494
 
495 14 zero_gravi
### Toolchain
496 2 zero_gravi
 
497
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
498
and build the toolchain by yourself, or you can download a prebuilt one and install it.
499
 
500 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
501
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
502 2 zero_gravi
 
503 14 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain.
504
Make sure to use the `ilp32` or `ilp32e` ABI.
505 2 zero_gravi
 
506 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
507
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
508 2 zero_gravi
 
509
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
510
 
511
 
512 11 zero_gravi
### Dowload the NEORV32 and Create a Hardware Project
513 2 zero_gravi
 
514 12 zero_gravi
Get the sources of the NEORV32 Processor project. You can either download a [release](https://github.com/stnolting/neorv32/releases)
515
or get the most recent version of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip) or using `git clone` (suggested for easy project updates via `git pull`):
516
 
517 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
518
 
519 12 zero_gravi
Create a new project with your FPGA design tool of choice and add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
520
folder to this project. Make sure to add them to a **new library** called `neorv32`.
521 2 zero_gravi
 
522 11 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in your own project or you
523
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) (from the project's
524
[`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder) as top entity.
525 12 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART, clock, reset and some GPIO output sginals are
526 11 zero_gravi
propagated (basically, its a FPGA "hello world" example):
527 2 zero_gravi
 
528
```vhdl
529 9 zero_gravi
  entity neorv32_test_setup is
530
    port (
531
      -- Global control --
532
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
533
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
534
      -- GPIO --
535
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
536
      -- UART --
537
      uart_txd_o : out std_ulogic; -- UART send data
538
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
539
    );
540
  end neorv32_test_setup;
541 2 zero_gravi
```
542
 
543
 
544
### Compiling and Uploading One of the Example Projects
545
 
546 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
547 2 zero_gravi
`sw/example/blink_led` and run:
548
 
549
    neorv32/sw/example/blink_led$ make check
550
 
551 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
552
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
553
executable `neorv32_exe.bin` in the same folder.
554 2 zero_gravi
 
555
    neorv32/sw/example/blink_led$ make clean_all compile
556
 
557
Connect your FPGA board via UART to you computer and open the according port to interface with the NEORV32 bootloader. The bootloader
558
uses the following default UART configuration:
559
 
560
- 19200 Baud
561
- 8 data bits
562
- 1 stop bit
563
- No parity bits
564
- No transmission / flow control protocol (raw bytes only)
565
- Newline on `\r\n` (carriage return & newline)
566
 
567 9 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` file and run your application image.
568 2 zero_gravi
 
569 9 zero_gravi
```
570
  << NEORV32 Bootloader >>
571
 
572
  BLDV: Jul  6 2020
573
  HWV:  1.0.1.0
574
  CLK:  0x0134FD90 Hz
575 13 zero_gravi
  USER: 0x0001CE40
576 9 zero_gravi
  MISA: 0x42801104
577
  CONF: 0x03FF0035
578
  IMEM: 0x00010000 bytes @ 0x00000000
579
  DMEM: 0x00010000 bytes @ 0x80000000
580
 
581
  Autoboot in 8s. Press key to abort.
582
  Aborted.
583
 
584
  Available CMDs:
585
   h: Help
586
   r: Restart
587
   u: Upload
588
   s: Store to flash
589
   l: Load from flash
590
   e: Execute
591
  CMD:> u
592
  Awaiting neorv32_exe.bin... OK
593
  CMD:> e
594
  Booting...
595
 
596
  Blinking LED demo program
597
```
598 2 zero_gravi
 
599 9 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
600 2 zero_gravi
 
601
 
602
 
603 9 zero_gravi
## Contribute
604 2 zero_gravi
 
605 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
606
to open a [new issue](https://github.com/stnolting/neorv32/issues).
607 2 zero_gravi
 
608 9 zero_gravi
If you want to get involved you can also directly drop me a line (mailto:stnolting@gmail.com).
609
Please also check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md).
610 2 zero_gravi
 
611
 
612 9 zero_gravi
 
613 11 zero_gravi
## Legal
614 2 zero_gravi
 
615 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
616 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
617
 
618
#### Citation
619
 
620 2 zero_gravi
If you are using the NEORV32 Processor in some kind of publication, please cite it as follows:
621
 
622
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
623
 
624 9 zero_gravi
#### BSD 3-Clause License
625 2 zero_gravi
 
626
Copyright (c) 2020, Stephan Nolting. All rights reserved.
627
 
628
Redistribution and use in source and binary forms, with or without modification, are
629
permitted provided that the following conditions are met:
630
 
631
1. Redistributions of source code must retain the above copyright notice, this list of
632
conditions and the following disclaimer.
633
2. Redistributions in binary form must reproduce the above copyright notice, this list of
634
conditions and the following disclaimer in the documentation and/or other materials
635
provided with the distribution.
636
3. Neither the name of the copyright holder nor the names of its contributors may be used to
637
endorse or promote products derived from this software without specific prior written
638
permission.
639
 
640
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
641
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
642
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
643
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
644
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
645
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
646
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
647
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
648
OF THE POSSIBILITY OF SUCH DAMAGE.
649
 
650
 
651 9 zero_gravi
#### Limitation of Liability for External Links
652
 
653
Our website contains links to the websites of third parties („external links“). As the
654
content of these websites is not under our control, we cannot assume any liability for
655
such external content. In all cases, the provider of information of the linked websites
656
is liable for the content and accuracy of the information provided. At the point in time
657
when the links were placed, no infringements of the law were recognisable to us. As soon
658
as an infringement of the law becomes known to us, we will immediately remove the
659
link in question.
660
 
661
 
662 11 zero_gravi
#### Proprietary  Notice
663 9 zero_gravi
 
664 2 zero_gravi
"Windows" is a trademark of Microsoft Corporation.
665
 
666
"Artix" and "Vivado" are trademarks of Xilinx Inc.
667
 
668 11 zero_gravi
"Cyclone", "Quartus Prime", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
669 2 zero_gravi
 
670 11 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx, Inc.
671
 
672 2 zero_gravi
"iCE40", "UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
673
 
674 13 zero_gravi
"AXI" and "AXI-Lite" are trademarks of Arm Holdings plc.
675 2 zero_gravi
 
676
 
677 18 zero_gravi
## Acknowledgements
678 9 zero_gravi
 
679 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
680
 
681 11 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free :heart:
682
 
683 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
684
 
685
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
686
 
687
 
688
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
689
 
690
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
691
 
692
 
693 14 zero_gravi
 
694 6 zero_gravi
Made with :coffee: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.