OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32)
2
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7
[![issues](https://img.shields.io/github/issues/stnolting/neorv32)](https://github.com/stnolting/neorv32/issues)
8
[![pull requests](https://img.shields.io/github/issues-pr/stnolting/neorv32)](https://github.com/stnolting/neorv32/pulls)
9
[![last commit](https://img.shields.io/github/last-commit/stnolting/neorv32)](https://github.com/stnolting/neorv32/commits/master)
10
 
11
 
12
 
13
## Table of Content
14
 
15
* [Introduction](#Introduction)
16
* [Features](#Features)
17
* [FPGA Implementation Results](#FPGA-Implementation-Results)
18
* [Performance](#Performance)
19
* [Top Entity](#Top-Entity)
20
* [**Getting Started**](#Getting-Started)
21
* [Contact](#Contact)
22
* [Legal](#Legal)
23
 
24
 
25
 
26
## Introduction
27
 
28
The NEORV32 is a customizable mikrocontroller-like processor system based on a RISC-V `rv32i` or `rv32e` CPU with optional
29
`M`, `C` and `Zicsr` extensions. The CPU was built from scratch and is compliant to the **Unprivileged
30
ISA Specification Version 2.1** and the **Privileged Architecture Specification Version 1.12**. The NEORV32 is intended
31
as auxiliary processor within a larger SoC designs or as stand-alone custom microcontroller.
32
 
33
The processor provides common peripherals and interfaces like input and output ports, serial interfaces for UART, I²C and SPI,
34
interrupt controller, timers and embedded memories. External memories peripherals and custom IP can be attached via a
35
Wishbone-based external memory interface. All optional features beyond the base CPU can be enabled configured via VHDL generics.
36
 
37
This project comes with a complete software ecosystem that features core libraries for high-level usage of the
38
provided functions and peripherals, application makefiles and example programs. All software source files
39
provide a doxygen-based documentary.
40
 
41
The project is intended to work "out of the box". Just synthesize the test setup from this project, upload
42
it to your FPGA board of choice and start playing with the NEORV32. If you do not want to [compile the GCC toolchain](https://github.com/riscv/riscv-gnu-toolchain)
43
by yourself, you can also download [pre-compiled toolchain](https://github.com/stnolting/riscv_gcc_prebuilt) for Linux.
44
 
45
For more information take a look a the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
46
 
47
 
48
### Design Principles
49
 
50
 * From zero to main(): Completely open source and documented.
51
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
52
 * Easy to use – working out of the box.
53
 * Clean synchronous design, no wacky combinatorial interfaces.
54
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
55
 
56
 
57
### Status
58
![processor status](https://img.shields.io/badge/processor%20status-beta-orange)
59
 
60
 
61
## Features
62
 
63
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_overview.png)
64
 
65
### Processor Features
66
 
67
  - RISC-V-compliant `rv32i` or `rv32e` CPU with optional `C`, `E`, `M` and `Zicsr` extensions
68
  - GCC-based toolchain ([pre-compiled rv32i and rv32 etoolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
69
  - Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/Makefile)
70
  - [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doygen_makefile_sw) documentation of the software framework
71
  - Completely described in behavioral, platform-independent VHDL – no primitives, macros, etc.
72
  - Fully synchronous design, no latches, no gated clocks
73
  - Small hardware footprint and high operating frequency
74
  - Highly customizable processor configuration
75
  - Optional processor-internal data and instruction memories (DMEM/IMEM)
76
  - Optional internal bootloader with UART console and automatic SPI flash boot option
77
  - Optional machine system timer (MTIME), RISC-V-compliant
78
  - Optional universal asynchronous receiver and transmitter (UART)
79
  - Optional 8/16/24/32-bit serial peripheral interface master (SPI) with 8 dedicated chip select lines
80
  - Optional two wire serial interface master (TWI), compatible to the I²C standard
81
  - Optional general purpose parallel IO port (GPIO), 16xOut & 16xIn, with pin-change interrupt
82
  - Optional 32-bit external bus interface, Wishbone b4 compliant (WISHBONE)
83
  - Optional watchdog timer (WDT)
84
  - Optional PWM controller with 4 channels and 8-bit duty cycle resolution (PWM)
85
  - Optional GARO-based true random number generator (TRNG)
86
  - Optional core-local interrupt controller with 8 channels (CLIC)
87
 
88
 
89
### CPU Features
90
 
91
The CPU is compliant to the [official RISC-V specifications](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
92
[RISC-V privileged architecture specifications](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
93
 
94
RV32I base instruction set (**`I` extension**):
95
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
96
  * Branches instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
97
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
98
 
99
Compressed instructions (**`C` extension**):
100
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
101
  * Branches instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
102
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
103
  * Misc instructions: `C.EBREAK` (only with `Zicsr` extension)
104
 
105
Embedded CPU version (**`E` extension**):
106
  * Reduced register file (only the 16 lowest registers)
107
  * No performance counter CSRs
108
 
109
Integer multiplication and division hardware (**`M` extension**):
110
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
111
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
112
 
113
Privileged architecture (**`Zicsr` extension**):
114
  * Privilege levels: `M-mode` (Machine mode)
115
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
116
  * System instructions: `ECALL` `EBREAK` `MRET` `WFI`
117
  * Counter CSRs: `cycle` `cycleh` `time` `timeh` `instret` `instreth` `mcycle` `mcycleh` `minstret` `minstreth`
118
  * Machine CSRs: `mstatus` `misa` `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mtinst` `mimpid` `mhartid`
119
  * Custom CSRs: `mfeatures` `mclock` `mispacebase` `mdspacebase` `mispacesize` `mdspacesize`
120
  * Supported exceptions and interrupts:
121
    * Misaligned instruction address
122
    * Instruction access fault
123
    * Illegal instruction
124
    * Breakpoint
125
    * Load address misaligned
126
    * Load access fault
127
    * Sore address misaligned
128
    * Store access fault
129
    * Environment call from M-mode
130
    * Machine software instrrupt
131
    * Machine timer interrupt (from MTIME)
132
    * Machine external interrupt (via CLIC)
133
 
134
General:
135
  * No hardware support of unaligned accesses (except for instructions in `C` extension that still have to be aligned on 16-bit boundaries)
136
  * Multi-cycle in-order instruction execution
137
 
138
More information including a detailed list of the available CSRs can be found in
139
the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
140
 
141
 
142
### To-Do / Wish List
143
 
144
- Testing, testing and even more testing
145
- Port official [RISC-V compliance test](https://github.com/riscv/riscv-compliance)
146
- Port Dhrystone benchmark
147
- Implement atomic extensions (`A` extension)
148
- Implement co-processor for single-precision floating-point (`F` extension)
149
- Implement user mode (`U` extension)
150
- Make a 64-bit branch
151
- Maybe port an RTOS (like [freeRTOS](https://www.freertos.org/) or [RIOT](https://www.riot-os.org/))
152
 
153
 
154
 
155
## FPGA Implementation Results
156
 
157
This chapter shows exemplary implementation results of the NEORV32 processor for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
158
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
159
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not other specified, the default configuration
160
of the processor's generics is assumed. No constraints were used.
161
 
162
Results generated for hardware version: `0.0.2.3`
163
 
164
### CPU
165
 
166
| CPU Configuration   | LEs        | FFs      | Memory bits | DSPs   | f_max   |
167
|:--------------------|:----------:|:--------:|:-----------:|:------:|:-------:|
168
| `rv32i`             |  852  (4%) | 326 (1%) |  2048 (>1%) | 0 (0%) | 111 MHz |
169
| `rv32i` + `Zicsr`   | 1488  (7%) | 694 (3%) |  2048 (>1%) | 0 (0%) | 107 MHz |
170
| `rv32im` + `Zicsr`  | 2057  (9%) | 941 (4%) |  2048 (>1%) | 0 (0%) | 102 MHz |
171
| `rv32imc` + `Zicsr` | 2209 (10%) | 958 (4%) |  2048 (>1%) | 0 (0%) | 102 MHz |
172
| `rv32e`             |  848  (4%) | 326 (1%) |  1024 (>1%) | 0 (0%) | 111 MHz |
173
| `rv32e` + `Zicsr`   | 1316  (6%) | 594 (3%) |  1024 (>1%) | 0 (0%) | 106 MHz |
174
| `rv32em` + `Zicsr`  | 1879  (8%) | 841 (4%) |  1024 (>1%) | 0 (0%) | 101 MHz |
175
| `rv32emc` + `Zicsr` | 2065  (9%) | 858 (4%) |  1024 (>1%) | 0 (0%) | 100 MHz |
176
 
177
### Peripherals / Others
178
 
179
| Module   | Description                                     | LEs | FFs | Memory bits | DSPs |
180
|:---------|:------------------------------------------------|:---:|:---:|:-----------:|:----:|
181
| Boot ROM | Bootloader ROM (4kB)                            |   3 |   1 |      32 768 |    0 |
182
| DMEM     | Processor-internal data memory (8kB)            |  12 |   2 |      65 536 |    0 |
183
| GPIO     | General purpose input/output ports              |  37 |  33 |           0 |    0 |
184
| IMEM     | Processor-internal instruction memory (16kb)    |   7 |   2 |     131 072 |    0 |
185
| MTIME    | Machine system timer                            | 369 | 168 |           0 |    0 |
186
| PWM      | Pulse-width modulation controller               |  77 |  69 |           0 |    0 |
187
| SPI      | Serial peripheral interface                     | 198 | 125 |           0 |    0 |
188
| TRNG     | True random number generator                    | 103 |  93 |           0 |    0 |
189
| TWI      | Two-wire interface                              |  76 |  44 |           0 |    0 |
190
| UART     | Universal asynchronous receiver/transmitter     | 154 | 108 |           0 |    0 |
191
| WDT      | Watchdog timer                                  |  57 |  45 |           0 |    0 |
192
 
193
 
194
### Lattice iCE40 UltraPlus 5k
195
 
196
The following table shows the hardware utilization for a [iCE40 UP5K](http://www.latticesemi.com/en/Products/FPGAandCPLD/iCE40UltraPlus) FPGA.
197
The setup uses all provided peripherals, all CPU extensions (except for the `E` extension), no external memory interface and internal
198
instruction and data memoryies (each 64kB) based on SPRAM primitives. The FPGA-specific memory components can be found in the
199
[`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up) folder.
200
 
201
Place & route reports generated with **Lattice Radiant 1.1. Synplify**. The clock frequency is constrained and generated via the
202
PLL from the internal HF oscillator running at 12 MHz.
203
 
204
| CPU Configuration   | Slices     | LUT        | REG        | DSPs   | SRAM     | EBR      | f         |
205
|:--------------------|:----------:|:----------:|:----------:|:------:|:--------:|:--------:|:---------:|
206
| `rv32imc`           | 2593 (98%) | 5059 (95%) | 1776 (33%) | 0 (0%) | 4 (100%) | 12 (40%) | 20.25 MHz |
207
 
208
 
209
## Performance
210
 
211
### CoreMark Benchmark
212
 
213
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
214
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
215
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
216
 
217
Results generated for hardware version: `0.0.2.3`
218
 
219
~~~
220
**Configuration**
221
Hardware:         32kB IMEM, 16kb DMEM, 100MHz clock
222
CoreMark:         2000 iterations, MEM_METHOD is MEM_STACK
223
CPU extensions:   `rv32i` or `rv32im` or `rv32imc`
224
Used peripherals: MTIME for time measurement, UART for printing the results
225
~~~
226
 
227
| __Configuration__ | __Optimization__ | __Executable Size__ | __CoreMark Score__ | __CoreMarks/MHz__ |
228
|:------------------|:----------------:|:-------------------:|:------------------:|:-----------------:|
229
| `rv32i`           |      `-Os`       |     17 944 bytes    |        23.26       |       0.232       |
230
| `rv32i`           |      `-O2`       |     20 264 bytes    |        25.64       |       0.256       |
231
| `rv32im`          |      `-Os`       |     16 880 bytes    |        40.81       |       0.408       |
232
| `rv32im`          |      `-O2`       |     19 312 bytes    |        47.62       |       0.476       |
233
| `rv32imc`         |      `-Os`       |     13 000 bytes    |        32.78       |       0.327       |
234
| `rv32imc`         |      `-O2`       |     15 004 bytes    |        37.04       |       0.370       |
235
 
236
 
237
### Instruction Cycles
238
 
239
The NEORV32 CPU is based on a multi-cycle architecture. Each instruction is executed in a sequence of several
240
consecutive micro operations. Hence, each instruction requires several clock cycles to execute. The average CPI
241
(cycles per instruction) depends on the instruction mix of a specific applications and also on the available
242
CPU extensions.
243
 
244
Please note that the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
245
`M` extension use a bit-serial approach and require several cycles for completion.
246
 
247
The following table shows the performance results for successfully (!) running 2000 CoreMark
248
iterations. The average CPI is computed by dividing the total number of required clock cycles (all of CoreMark
249
– not only the timed core) by the number of executed instructions (`instret[h]` CSRs). The executables
250
were generated using optimization `-O2`.
251
 
252
| CPU / Toolchain Config. | Required Clock Cycles | Executed Instructions | Average CPI |
253
|:------------------------|----------------------:|----------------------:|:-----------:|
254
| `rv32i`                 |        10 385 023 697 |         1 949 310 506 |     5.3     |
255
| `rv32im`                |         6 276 943 488 |           995 011 883 |     6.3     |
256
| `rv32imc`               |         7 340 734 652 |           934 952 588 |     7.6     |
257
 
258
 
259
### Evaluation
260
 
261
Based on the provided performance measurement and the hardware utilization for the
262
different CPU configurations, the following configurations are suggested:
263
 
264
 
265
| Design Goal                    | NEORV32 CPU Config. |
266
|:-------------------------------|:--------------------|
267
| Highest performance:           | `rv32im`            |
268
| Lowest memory requirements:    | `rv32imc`           |
269
| Lowest hardware requirements*: | `rv32ec`            |
270
 
271
*) Including on-chip memory hardware requirements.
272
 
273
 
274
 
275
## Top Entity
276
 
277
The top entity of the processor is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) (from the `rtl/core` folder).
278
Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
279
(except for the TWI signals, which are of type *std_logic*).
280
 
281
Use the generics to configure the processor according to your needs. Each generics is initilized with the default configuration.
282
Detailed information regarding the signals and configuration generics can be found in the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
283
 
284
```vhdl
285
entity neorv32_top is
286
  generic (
287
    -- General --
288
    CLOCK_FREQUENCY           : natural := 0;       -- clock frequency of clk_i in Hz
289
    HART_ID                   : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom hardware thread ID
290
    BOOTLOADER_USE            : boolean := true;    -- implement processor-internal bootloader?
291
    -- RISC-V CPU Extensions --
292
    CPU_EXTENSION_RISCV_C     : boolean := false;   -- implement compressed extension?
293
    CPU_EXTENSION_RISCV_E     : boolean := false;   -- implement embedded RF extension?
294
    CPU_EXTENSION_RISCV_M     : boolean := false;   -- implement muld/div extension?
295
    CPU_EXTENSION_RISCV_Zicsr : boolean := true;    -- implement CSR system?
296
    -- Memory configuration: Instruction memory --
297
    MEM_ISPACE_BASE           : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
298
    MEM_ISPACE_SIZE           : natural := 16*1024; -- total size of instruction memory space in byte
299
    MEM_INT_IMEM_USE          : boolean := true;    -- implement processor-internal instruction memory
300
    MEM_INT_IMEM_SIZE         : natural := 16*1024; -- size of processor-internal instruction memory in bytes
301
    MEM_INT_IMEM_ROM          : boolean := false;   -- implement processor-internal instruction memory as ROM
302
    -- Memory configuration: Data memory --
303
    MEM_DSPACE_BASE           : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
304
    MEM_DSPACE_SIZE           : natural := 8*1024;  -- total size of data memory space in byte
305
    MEM_INT_DMEM_USE          : boolean := true;    -- implement processor-internal data memory
306
    MEM_INT_DMEM_SIZE         : natural := 8*1024;  -- size of processor-internal data memory in bytes
307
    -- Memory configuration: External memory interface --
308
    MEM_EXT_USE               : boolean := false;   -- implement external memory bus interface?
309
    MEM_EXT_REG_STAGES        : natural := 2;       -- number of interface register stages (0,1,2)
310
    MEM_EXT_TIMEOUT           : natural := 15;      -- cycles after which a valid bus access will timeout (>=1)
311
    -- Processor peripherals --
312
    IO_GPIO_USE               : boolean := true;    -- implement general purpose input/output port unit (GPIO)?
313
    IO_MTIME_USE              : boolean := true;    -- implement machine system timer (MTIME)?
314
    IO_UART_USE               : boolean := true;    -- implement universal asynchronous receiver/transmitter (UART)?
315
    IO_SPI_USE                : boolean := true;    -- implement serial peripheral interface (SPI)?
316
    IO_TWI_USE                : boolean := true;    -- implement two-wire interface (TWI)?
317
    IO_PWM_USE                : boolean := true;    -- implement pulse-width modulation unit (PWM)?
318
    IO_WDT_USE                : boolean := true;    -- implement watch dog timer (WDT)?
319
    IO_CLIC_USE               : boolean := true;    -- implement core local interrupt controller (CLIC)?
320
    IO_TRNG_USE               : boolean := false    -- implement true random number generator (TRNG)?
321
  );
322
  port (
323
    -- Global control --
324
    clk_i        : in  std_ulogic := '0'; -- global clock, rising edge
325
    rstn_i       : in  std_ulogic := '0'; -- global reset, low-active, async
326
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
327
    wb_adr_o     : out std_ulogic_vector(31 downto 0); -- address
328
    wb_dat_i     : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
329
    wb_dat_o     : out std_ulogic_vector(31 downto 0); -- write data
330
    wb_we_o      : out std_ulogic; -- read/write
331
    wb_sel_o     : out std_ulogic_vector(03 downto 0); -- byte enable
332
    wb_stb_o     : out std_ulogic; -- strobe
333
    wb_cyc_o     : out std_ulogic; -- valid cycle
334
    wb_ack_i     : in  std_ulogic := '0'; -- transfer acknowledge
335
    wb_err_i     : in  std_ulogic := '0'; -- transfer error
336
    -- GPIO (available if IO_GPIO_USE = true) --
337
    gpio_o       : out std_ulogic_vector(15 downto 0); -- parallel output
338
    gpio_i       : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
339
    -- UART (available if IO_UART_USE = true) --
340
    uart_txd_o   : out std_ulogic; -- UART send data
341
    uart_rxd_i   : in  std_ulogic := '0'; -- UART receive data
342
    -- SPI (available if IO_SPI_USE = true) --
343
    spi_sclk_o   : out std_ulogic; -- serial clock line
344
    spi_mosi_o   : out std_ulogic; -- serial data line out
345
    spi_miso_i   : in  std_ulogic := '0'; -- serial data line in
346
    spi_csn_o    : out std_ulogic_vector(07 downto 0); -- SPI CS
347
    -- TWI (available if IO_TWI_USE = true) --
348
    twi_sda_io   : inout std_logic := 'H'; -- twi serial data line
349
    twi_scl_io   : inout std_logic := 'H'; -- twi serial clock line
350
    -- PWM (available if IO_PWM_USE = true) --
351
    pwm_o        : out std_ulogic_vector(03 downto 0); -- pwm channels
352
    -- Interrupts (available if IO_CLIC_USE = true) --
353
    ext_irq_i    : in  std_ulogic_vector(01 downto 0) := (others => '0'); -- external interrupt request
354
    ext_ack_o    : out std_ulogic_vector(01 downto 0)  -- external interrupt request acknowledge
355
  );
356
end neorv32_top;
357
```
358
 
359
 
360
 
361
## Getting Started
362
 
363
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
364
 
365
[![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
366
 
367
 
368
### Building the Toolchain
369
 
370
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
371
and build the toolchain by yourself, or you can download a prebuilt one and install it.
372
 
373
To build the toolchain by yourself, get the sources from the official [RISCV-GNU-TOOLCHAIN](https://github.com/riscv/riscv-gnu-toolchain) github page:
374
 
375
    $ git clone --recursive https://github.com/riscv/riscv-gnu-toolchain
376
 
377
Download and install the prerequisite standard packages:
378
 
379
    $ sudo apt-get install autoconf automake autotools-dev curl python3 libmpc-dev libmpfr-dev libgmp-dev gawk build-essential bison flex texinfo gperf libtool patchutils bc zlib1g-dev libexpat-dev
380
 
381
To build the Linux cross-compiler, pick an install path. If you choose, say, `/opt/riscv`, then add `/opt/riscv/bin` to your `PATH` environment variable.
382
 
383
    $ export PATH:$PATH:/opt/riscv/bin
384
 
385
Then, simply run the following commands in the RISC-V GNU toolchain source folder (for the `rv32i` toolchain):
386
 
387
    riscv-gnu-toolchain$ ./configure --prefix=/opt/riscv --with-arch=rv32i –with-abi=ilp32
388
    riscv-gnu-toolchain$ make
389
 
390
After a while (hours!) you will get `riscv32-unknown-elf-gcc` and all of its friends in your `/opt/riscv/bin` folder.
391
 
392
 
393
### Using a Prebuilt Toolchain
394
 
395
Alternatively, you can download a prebuilt toolchain. I have uploaded the toolchain I am using to GitHub. This toolchain
396
has been compiled on a 64-bit x86 Ubuntu (Ubuntu on Windows). Download the toolchain of choice:
397
 
398
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
399
 
400
 
401
### Dowload the Project and Create a Hardware Project
402
 
403
Now its time to get the most recent version the NEORV32 Processor project from GitHub. Clone the NEORV32 repository using
404
`git` from the command line (suggested for easy project updates via `git pull`):
405
 
406
    $ git clone https://github.com/stnolting/neorv32.git
407
 
408
Create a new HW project with your FPGA synthesis tool of choice. Add all files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
409
folder to this project and add them to a **new library** called `neorv32`.
410
 
411
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in you own project, or you
412
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity. This test
413
setup instantiates the processor, implements most of the peripherals and the basic ISA. Only the UART, clock, reset and some GPIO output sginals are
414
propagated:
415
 
416
```vhdl
417
entity neorv32_test_setup is
418
  port (
419
    -- Global control --
420
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
421
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
422
    -- GPIO --
423
    gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
424
    -- UART --
425
    uart_txd_o : out std_ulogic; -- UART send data
426
    uart_rxd_i : in  std_ulogic := '0' -- UART receive data
427
  );
428
end neorv32_test_setup;
429
```
430
 
431
This test setup is intended as quick and easy "hello world" test setup to get into the NEORV32.
432
 
433
 
434
### Compiling and Uploading One of the Example Projects
435
 
436
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain, navigate to an example project like
437
`sw/example/blink_led` and run:
438
 
439
    neorv32/sw/example/blink_led$ make check
440
 
441
The NEORV32 project includes some example programs from which you can start your own application:
442
[SW example projects](https://github.com/stnolting/neorv32/tree/master/sw/example)
443
 
444
Simply compile one of these projects. This will create a NEORV32 executable `neorv32_exe.bin` in the same folder.
445
 
446
    neorv32/sw/example/blink_led$ make clean_all compile
447
 
448
Connect your FPGA board via UART to you computer and open the according port to interface with the NEORV32 bootloader. The bootloader
449
uses the following default UART configuration:
450
 
451
- 19200 Baud
452
- 8 data bits
453
- 1 stop bit
454
- No parity bits
455
- No transmission / flow control protocol (raw bytes only)
456
- Newline on `\r\n` (carriage return & newline)
457
 
458
Use the bootloader console to upload and execute your application image.
459
 
460
```
461
  << NEORV32 Bootloader >>
462
 
463
  BLDV: Jun 22 2020
464
  HWV:  0.0.2.3
465
  CLK:  0x0134FD90 Hz
466
  MISA: 0x42801104
467
  CONF: 0x01FF0015
468
  IMEM: 0x00010000 bytes @ 0x00000000
469
  DMEM: 0x00010000 bytes @ 0x80000000
470
 
471
  Autoboot in 8s. Press key to abort.
472
  Aborted.
473
 
474
  Available commands:
475
  h: Help
476
  r: Restart
477
  u: Upload
478
  s: Store to flash
479
  l: Load from flash
480
  e: Execute
481
  CMD:>
482
```
483
 
484
Going further: Take a look at the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
485
 
486
 
487
 
488
## Contact
489
 
490
If you have any questions, bug reports, ideas or if you are facing problems with the NEORV32 or want to give some kinf of feedback, open a
491
[new issue](https://github.com/stnolting/neorv32/issues) or directly drop me a line:
492
 
493
  stnolting@gmail.com
494
 
495
 
496
 
497
## Citation
498
 
499
If you are using the NEORV32 Processor in some kind of publication, please cite it as follows:
500
 
501
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
502
 
503
 
504
 
505
## Legal
506
 
507
This is a hobby project released under the BSD 3-Clause license. No copyright infringement intended.
508
 
509
**BSD 3-Clause License**
510
 
511
Copyright (c) 2020, Stephan Nolting. All rights reserved.
512
 
513
Redistribution and use in source and binary forms, with or without modification, are
514
permitted provided that the following conditions are met:
515
 
516
1. Redistributions of source code must retain the above copyright notice, this list of
517
conditions and the following disclaimer.
518
2. Redistributions in binary form must reproduce the above copyright notice, this list of
519
conditions and the following disclaimer in the documentation and/or other materials
520
provided with the distribution.
521
3. Neither the name of the copyright holder nor the names of its contributors may be used to
522
endorse or promote products derived from this software without specific prior written
523
permission.
524
 
525
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
526
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
527
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
528
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
529
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
530
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
531
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
532
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
533
OF THE POSSIBILITY OF SUCH DAMAGE.
534
 
535
 
536
"Windows" is a trademark of Microsoft Corporation.
537
 
538
"Artix" and "Vivado" are trademarks of Xilinx Inc.
539
 
540
"Cyclone", "Quartus Prime" and "Avalon Bus" are trademarks of Intel Corporation.
541
 
542
"iCE40", "UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
543
 
544
"AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
545
 
546
 
547
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
548
 
549
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
550
 
551
 
552
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
553
 
554
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
555
 
556
 
557
Made with :heart: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.