OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 20

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32) (RISC-V)
2 2 zero_gravi
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7
 
8
## Table of Content
9
 
10
* [Introduction](#Introduction)
11
* [Features](#Features)
12
* [FPGA Implementation Results](#FPGA-Implementation-Results)
13
* [Performance](#Performance)
14
* [Top Entity](#Top-Entity)
15
* [**Getting Started**](#Getting-Started)
16 9 zero_gravi
* [Contribute](#Contribute)
17 2 zero_gravi
* [Legal](#Legal)
18
 
19
 
20
 
21
## Introduction
22
 
23 14 zero_gravi
The **NEORV32 processor** is a customizable full-scale mikrocontroller-like processor system based on the RISC-V-compliant
24 15 zero_gravi
`rv32i` NEORV32 CPU with optional `M`, `E`, `C` and `U`, `Zicsr` and `Zifencei` extensions and optional physical memory protection (PMP).
25
The CPU was built from scratch and is compliant to the *Unprivileged ISA Specification Version 2.2* and a subset of the *Privileged Architecture
26 14 zero_gravi
Specification Version 1.12-draft*.
27 2 zero_gravi
 
28 14 zero_gravi
The **processor** is intended as auxiliary processor within a larger SoC designs or as stand-alone
29
custom microcontroller. Its top entity can be directly synthesized for any FPGA without modifications and
30
provides a full-scale RISC-V based microcontroller with common peripherals like GPIO, serial interfaces for
31
UART, I²C and SPI, timers, external bus interface and embedded memories. All optional features beyond the
32
base CPU can be enabled and configured via VHDL generics.
33 11 zero_gravi
 
34 14 zero_gravi
Alternatively, you can use the **NEORV32 CPU** as stand-alone central processing unit and build your own microcontroller
35
or processor system around it.
36 2 zero_gravi
 
37 14 zero_gravi
This project comes with a complete software ecosystem that features core libraries for high-level
38
usage of the provided functions and peripherals, application makefiles, a runtime environment and
39
several example programs. All software source files provide a doxygen-based documentary.
40 2 zero_gravi
 
41 14 zero_gravi
The project is intended to work "out of the box". Just synthesize the test setup from this project,
42
upload it to your FPGA board of choice and start playing with the NEORV32. If you do not want to
43
[compile the GCC toolchains](https://github.com/riscv/riscv-gnu-toolchain) by yourself, you can also
44
download [pre-compiled toolchains](https://github.com/stnolting/riscv_gcc_prebuilt) for Linux.
45 2 zero_gravi
 
46
For more information take a look a the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
47
 
48 20 zero_gravi
This project is hosted on [GitHub](https://github.com/stnolting/neorv32) and [opencores.org](https://opencores.org/projects/neorv32).
49
A not-so-complete project log can be found on [hackaday.io](https://hackaday.io/project/174167-the-neorv32-risc-v-processor).
50
 
51
 
52 15 zero_gravi
###  Key Features
53 2 zero_gravi
 
54 19 zero_gravi
- RISC-V-compliant `rv32i` CPU with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and PMP (physical memory protection) extensions
55
- GCC-based toolchain ([pre-compiled rv32i and rv32e toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
56 15 zero_gravi
- Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
57
- [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
58
- Detailed [datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
59
- Completely described in behavioral, platform-independent VHDL – no primitives, macros, etc.
60
- Fully synchronous design, no latches, no gated clocks
61
- Small hardware footprint and high operating frequency
62
- Highly configurable CPU and processor setup
63
 
64 2 zero_gravi
### Design Principles
65
 
66
 * From zero to main(): Completely open source and documented.
67
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
68
 * Easy to use – working out of the box.
69
 * Clean synchronous design, no wacky combinatorial interfaces.
70 18 zero_gravi
 * Be as small as possible – but with a reasonable size-speed tradeoff.
71 2 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
72
 
73
 
74
### Status
75 3 zero_gravi
 
76 6 zero_gravi
The processor is synthesizable (tested with Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/LSE) and can successfully execute
77
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the CoreMark benchmark.
78 2 zero_gravi
 
79 8 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
80 2 zero_gravi
 
81 11 zero_gravi
| Project component                                                               | CI status | Note     |
82
|:--------------------------------------------------------------------------------|:----------|:---------|
83 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
84
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
85
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
86 6 zero_gravi
 
87
 
88 12 zero_gravi
### Non RISC-V-Compliant Issues
89 7 zero_gravi
 
90 14 zero_gravi
* No exception is triggered for the `E` CPU extension when using registers above `x15` (*needs fixing*)
91 12 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of implemented CPU extensions during runtime
92 14 zero_gravi
* `mcause` CSR is read-only
93 12 zero_gravi
* The `[m]cycleh` and `[m]instreth` CSR counters are only 20-bit wide (in contrast to original 32-bit)
94 18 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
95 7 zero_gravi
 
96
 
97 14 zero_gravi
### Custom CPU Extensions
98
 
99 15 zero_gravi
The custom extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
100
 
101 14 zero_gravi
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
102
 
103
 
104 9 zero_gravi
### To-Do / Wish List
105 7 zero_gravi
 
106 16 zero_gravi
- Add AXI(-Lite) bridges
107 9 zero_gravi
- Synthesis results for more platforms
108 7 zero_gravi
- Port Dhrystone benchmark
109 13 zero_gravi
- Implement atomic operations (`A` extension) and floating-point operations (`F` extension)
110
- Maybe port an RTOS (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr), [freeRTOS](https://www.freertos.org) or [RIOT](https://www.riot-os.org))
111 7 zero_gravi
 
112
 
113
 
114 2 zero_gravi
## Features
115
 
116
### Processor Features
117
 
118 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
119
 
120 15 zero_gravi
Highly customizable processor configuration:
121
- Optional processor-internal data and instruction memories (DMEM/IMEM)
122
- Optional internal bootloader with UART console and automatic SPI flash boot option
123
- Optional machine system timer (MTIME), RISC-V-compliant
124
- Optional universal asynchronous receiver and transmitter (UART)
125
- Optional 8/16/24/32-bit serial peripheral interface controller (SPI) with 8 dedicated chip select lines
126
- Optional two wire serial interface controller (TWI), compatible to the I²C standard
127
- Optional general purpose parallel IO port (GPIO), 16xOut & 16xIn, with pin-change interrupt
128
- Optional 32-bit external bus interface, Wishbone b4 compliant (WISHBONE)
129
- Optional watchdog timer (WDT)
130
- Optional PWM controller with 4 channels and 8-bit duty cycle resolution (PWM)
131
- Optional GARO-based true random number generator (TRNG)
132
- Optional dummy device (DEVNULL) (can be used for *fast* simulation console output)
133
- System configuration information memory to check hardware configuration by software (SYSINFO)
134 2 zero_gravi
 
135
### CPU Features
136
 
137 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_cpu.png)
138
 
139
The CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
140 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
141
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
142 2 zero_gravi
 
143 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
144
the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
145
 
146
 
147
**General**:
148 12 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; single processor-bus via bus switch)
149
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
150 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
151
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
152 11 zero_gravi
 
153
 
154 3 zero_gravi
**RV32I base instruction set** (`I` extension):
155 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
156 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
157 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
158 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
159 2 zero_gravi
 
160 3 zero_gravi
**Compressed instructions** (`C` extension):
161 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
162 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
163 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
164
  * Misc instructions: `C.EBREAK` (only with `Zicsr` extension)
165
 
166 3 zero_gravi
**Embedded CPU version** (`E` extension):
167 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
168
 
169 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
170 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
171
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
172 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
173
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
174 2 zero_gravi
 
175 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
176 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
177
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
178 8 zero_gravi
  * System instructions: `MRET` `WFI`
179 12 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[h]` `time[h]`
180 14 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause`(read-only!) `mtval` `mip` `mvendorid` `marchid` `mimpid` `mhartid`
181 2 zero_gravi
  * Supported exceptions and interrupts:
182
    * Misaligned instruction address
183
    * Instruction access fault
184
    * Illegal instruction
185 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
186 2 zero_gravi
    * Load address misaligned
187
    * Load access fault
188 4 zero_gravi
    * Store address misaligned
189 2 zero_gravi
    * Store access fault
190 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
191 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
192
    * Machine software interrupt `msi` (via external signal)
193
    * Machine external interrupt `mei` (via external signal)
194
    * Four fast interrupt requests (custom extension)
195 2 zero_gravi
 
196 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
197 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
198 15 zero_gravi
 
199 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
200 17 zero_gravi
  * System instructions: `FENCE.I`
201 8 zero_gravi
 
202 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
203 15 zero_gravi
  * Additional machine CSRs: `pmpcfgx` `pmpaddrx`
204 2 zero_gravi
 
205 15 zero_gravi
 
206 2 zero_gravi
## FPGA Implementation Results
207
 
208
This chapter shows exemplary implementation results of the NEORV32 processor for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
209
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
210 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
211 19 zero_gravi
of the CPU's generics is assumed (e.g., no PMP). No constraints were used at all.
212 2 zero_gravi
 
213 11 zero_gravi
### CPU
214
 
215 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
216 2 zero_gravi
 
217 12 zero_gravi
| CPU Configuration                | LEs        | FFs      | Memory bits | DSPs | f_max   |
218
|:---------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
219 19 zero_gravi
| `rv32i`                          |       1113 |      479 |       2048  |    0 | 109 MHz |
220
| `rv32i`   + `Zicsr` + `Zifencei` |       1851 |      817 |       2048  |    0 | 100 MHz |
221
| `rv32im`  + `Zicsr` + `Zifencei` |       2462 |     1065 |       2048  |    0 | 100 MHz |
222
| `rv32imc` + `Zicsr` + `Zifencei` |       2714 |     1064 |       2048  |    0 | 100 MHz |
223
| `rv32emc` + `Zicsr` + `Zifencei` |       2717 |     1064 |       1024  |    0 | 100 MHz |
224 2 zero_gravi
 
225 6 zero_gravi
### Processor-Internal Peripherals and Memories
226 2 zero_gravi
 
227 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
228 11 zero_gravi
 
229 14 zero_gravi
| Module    | Description                                     | LEs | FFs | Memory bits | DSPs |
230
|:----------|:------------------------------------------------|:---:|:---:|:-----------:|:----:|
231
| BOOT ROM  | Bootloader ROM (4kB)                            |   4 |   1 |      32 768 |    0 |
232
| BUSSWITCH | Mux for CPU I & D interfaces                    |  62 |   8 |           0 |    0 |
233
| DEVNULL   | Dummy device                                    |   3 |   1 |           0 |    0 |
234
| DMEM      | Processor-internal data memory (8kB)            |  12 |   2 |      65 536 |    0 |
235
| GPIO      | General purpose input/output ports              |  40 |  33 |           0 |    0 |
236
| IMEM      | Processor-internal instruction memory (16kb)    |   7 |   2 |     131 072 |    0 |
237
| MTIME     | Machine system timer                            | 266 | 166 |           0 |    0 |
238
| PWM       | Pulse-width modulation controller               |  72 |  69 |           0 |    0 |
239
| SPI       | Serial peripheral interface                     | 198 | 125 |           0 |    0 |
240
| SYSINFO   | System configuration information memory         |  10 |   9 |           0 |    0 |
241
| TRNG      | True random number generator                    | 105 |  93 |           0 |    0 |
242
| TWI       | Two-wire interface                              |  75 |  44 |           0 |    0 |
243
| UART      | Universal asynchronous receiver/transmitter     | 153 | 108 |           0 |    0 |
244
| WDT       | Watchdog timer                                  |  59 |  45 |           0 |    0 |
245 2 zero_gravi
 
246
 
247 11 zero_gravi
### Exemplary FPGA Setups
248 6 zero_gravi
 
249 11 zero_gravi
Exemplary implementation results for different FPGA platforms. The processor setup uses *all provided peripherals*,
250 15 zero_gravi
no external memory interface, no PMP and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
251 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
252 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
253 6 zero_gravi
 
254 19 zero_gravi
Results generated for hardware version: `1.3.6.5`
255 6 zero_gravi
 
256 19 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain               | Impl. strategy |CPU                                | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency      |
257
|:--------|:----------------------------------|:-----------------|:------------------------|:---------------|:----------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|---------------:|
258
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 19.1 | balanced       | `rv32imcu` + `Zicsr` + `Zifencei` | 3800 (17%) | 1706  (8%) | 0 (0%) | 231424 (38%) |          - |        - |        100 MHz |
259
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (LSE)       | timing         | `rv32icu`  + `Zicsr` + `Zifencei` | 4950 (93%) | 1641 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) | *c* 22.875 MHz |
260
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2           | default        | `rv32imcu` + `Zicsr` + `Zifencei` | 2445 (12%) | 1893  (4%) | 0 (0%) |            - |    8 (16%) |        - |    *c* 100 MHz |
261 2 zero_gravi
 
262 11 zero_gravi
**Notes**
263 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
264 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
265
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
266 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
267
bootloader to store and automatically boot an application program after reset (both tested successfully).
268 2 zero_gravi
 
269
## Performance
270
 
271
### CoreMark Benchmark
272
 
273
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
274
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
275
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
276
 
277 20 zero_gravi
Results generated for hardware version: `1.3.7.0`
278 2 zero_gravi
 
279
~~~
280
**Configuration**
281 12 zero_gravi
Hardware:    32kB IMEM, 16kB DMEM, 100MHz clock
282
CoreMark:    2000 iterations, MEM_METHOD is MEM_STACK
283 14 zero_gravi
Compiler:    RISCV32-GCC 10.1.0
284 12 zero_gravi
Peripherals: UART for printing the results
285 2 zero_gravi
~~~
286
 
287 19 zero_gravi
| CPU                  | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
288
|:---------------------|:---------------:|:------------:|:--------------:|:-------------:|
289 20 zero_gravi
| `rv32i`              |    26 748 bytes |        `-O3` |          28.98 |        0.2898 |
290
| `rv32im`             |    25 580 bytes |        `-O3` |          60.60 |        0.6060 |
291
| `rv32imc`            |    19 636 bytes |        `-O3` |          62.50 |        0.6250 |
292
| `rv32imc` + FAST_MUL |    19 636 bytes |        `-O3` |          74.07 |        0.7407 |
293 2 zero_gravi
 
294 20 zero_gravi
The _FAST_MUL_ configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic).
295 2 zero_gravi
 
296
### Instruction Cycles
297
 
298 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
299 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
300
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
301 2 zero_gravi
CPU extensions.
302
 
303
Please note that the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
304
`M` extension use a bit-serial approach and require several cycles for completion.
305
 
306 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
307 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
308 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
309 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
310 2 zero_gravi
 
311 20 zero_gravi
Results generated for hardware version: `1.3.7.0`
312 2 zero_gravi
 
313 19 zero_gravi
| CPU                  | Required Clock Cycles | Executed Instructions | Average CPI |
314
|:---------------------|----------------------:|----------------------:|:-----------:|
315 20 zero_gravi
| `rv32i`              |         6 955 817 507 |         1 468 927 290 |        4.73 |
316
| `rv32im`             |         3 376 961 507 |           601 565 750 |        5.61 |
317
| `rv32imc`            |         3 274 832 513 |           601 565 964 |        5.44 |
318
| `rv32imc` + FAST_MUL |         2 711 072 513 |           601 566 024 |        4.51 |
319 2 zero_gravi
 
320 20 zero_gravi
The _FAST_MUL_ configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic).
321 2 zero_gravi
 
322 12 zero_gravi
 
323 14 zero_gravi
## Top Entities
324 2 zero_gravi
 
325 14 zero_gravi
The top entity of the **processor** is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) (from the `rtl/core` folder).
326 2 zero_gravi
Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
327
(except for the TWI signals, which are of type *std_logic*).
328
 
329 14 zero_gravi
The top entity of the **CPU** is [**neorv32_cpu.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd) (from the `rtl/core` folder).
330 16 zero_gravi
All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively.
331 14 zero_gravi
 
332
Use the generics to configure the processor/CPU according to your needs. Each generic is initilized with the default configuration.
333 2 zero_gravi
Detailed information regarding the signals and configuration generics can be found in the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
334
 
335 14 zero_gravi
Alternative top entities can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder.
336
 
337
### Processor
338
 
339 2 zero_gravi
```vhdl
340
entity neorv32_top is
341
  generic (
342
    -- General --
343 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
344 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
345 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
346 2 zero_gravi
    -- RISC-V CPU Extensions --
347 14 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
348 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
349 14 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
350 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
351 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
352
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
353 19 zero_gravi
    -- Extension Options --
354
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
355
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
356 15 zero_gravi
    -- Physical Memory Protection (PMP) --
357 19 zero_gravi
    PMP_USE                      : boolean := false; -- implement PMP?
358
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
359
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
360 2 zero_gravi
    -- Memory configuration: Instruction memory --
361 8 zero_gravi
    MEM_ISPACE_BASE              : std_ulogic_vector(31 downto 0) := x"00000000"; -- base address of instruction memory space
362
    MEM_ISPACE_SIZE              : natural := 16*1024; -- total size of instruction memory space in byte
363 14 zero_gravi
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
364 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
365 14 zero_gravi
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
366 2 zero_gravi
    -- Memory configuration: Data memory --
367 8 zero_gravi
    MEM_DSPACE_BASE              : std_ulogic_vector(31 downto 0) := x"80000000"; -- base address of data memory space
368
    MEM_DSPACE_SIZE              : natural := 8*1024; -- total size of data memory space in byte
369
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
370
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
371 2 zero_gravi
    -- Memory configuration: External memory interface --
372 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
373
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
374 14 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout
375 2 zero_gravi
    -- Processor peripherals --
376 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
377
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
378
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
379
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
380
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
381
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
382
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
383
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
384
    IO_DEVNULL_USE               : boolean := true    -- implement dummy device (DEVNULL)?
385 2 zero_gravi
  );
386
  port (
387
    -- Global control --
388 14 zero_gravi
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
389
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
390 2 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
391 14 zero_gravi
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
392
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
393
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
394
    wb_we_o    : out std_ulogic; -- read/write
395
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
396
    wb_stb_o   : out std_ulogic; -- strobe
397
    wb_cyc_o   : out std_ulogic; -- valid cycle
398
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
399
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
400 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
401 14 zero_gravi
    fence_o    : out std_ulogic; -- indicates an executed FENCE operation
402
    fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
403 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
404 14 zero_gravi
    gpio_o     : out std_ulogic_vector(15 downto 0); -- parallel output
405
    gpio_i     : in  std_ulogic_vector(15 downto 0) := (others => '0'); -- parallel input
406 2 zero_gravi
    -- UART (available if IO_UART_USE = true) --
407 14 zero_gravi
    uart_txd_o : out std_ulogic; -- UART send data
408
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
409 2 zero_gravi
    -- SPI (available if IO_SPI_USE = true) --
410 14 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
411
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
412
    spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
413
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
414 2 zero_gravi
    -- TWI (available if IO_TWI_USE = true) --
415 14 zero_gravi
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
416
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
417 2 zero_gravi
    -- PWM (available if IO_PWM_USE = true) --
418 14 zero_gravi
    pwm_o      : out std_ulogic_vector(03 downto 0); -- pwm channels
419
    -- Interrupts --
420
    msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
421
    mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
422 2 zero_gravi
  );
423
end neorv32_top;
424
```
425
 
426 14 zero_gravi
### CPU
427 2 zero_gravi
 
428 14 zero_gravi
```vhdl
429
entity neorv32_cpu is
430
  generic (
431
    -- General --
432
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
433
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
434
    -- RISC-V CPU Extensions --
435
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
436
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
437
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
438 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
439 14 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
440
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
441 19 zero_gravi
    -- Extension Options --
442
    CSR_COUNTERS_USE             : boolean := true;  -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
443
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
444 15 zero_gravi
    -- Physical Memory Protection (PMP) --
445
    PMP_USE                      : boolean := false; -- implement PMP?
446 16 zero_gravi
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
447
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
448 14 zero_gravi
    -- Bus Interface --
449
    BUS_TIMEOUT                  : natural := 15     -- cycles after which a valid bus access will timeout
450
  );
451
  port (
452
    -- global control --
453
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
454
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
455
    -- instruction bus interface --
456
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
457
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
458
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
459
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
460
    i_bus_we_o     : out std_ulogic; -- write enable
461
    i_bus_re_o     : out std_ulogic; -- read enable
462
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
463
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
464
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
465
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
466
    -- data bus interface --
467
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
468
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
469
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
470
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
471
    d_bus_we_o     : out std_ulogic; -- write enable
472
    d_bus_re_o     : out std_ulogic; -- read enable
473
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
474
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
475
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
476
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
477
    -- system time input from MTIME --
478
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
479
    -- interrupts (risc-v compliant) --
480
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
481
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
482
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
483
    -- fast interrupts (custom) --
484
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
485
  );
486
end neorv32_cpu;
487
```
488 2 zero_gravi
 
489 14 zero_gravi
 
490
 
491 2 zero_gravi
## Getting Started
492
 
493
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
494
 
495
[![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
496
 
497
 
498 14 zero_gravi
### Toolchain
499 2 zero_gravi
 
500
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
501
and build the toolchain by yourself, or you can download a prebuilt one and install it.
502
 
503 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
504
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
505 2 zero_gravi
 
506 14 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain.
507
Make sure to use the `ilp32` or `ilp32e` ABI.
508 2 zero_gravi
 
509 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
510
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
511 2 zero_gravi
 
512
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
513
 
514
 
515 11 zero_gravi
### Dowload the NEORV32 and Create a Hardware Project
516 2 zero_gravi
 
517 12 zero_gravi
Get the sources of the NEORV32 Processor project. You can either download a [release](https://github.com/stnolting/neorv32/releases)
518
or get the most recent version of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip) or using `git clone` (suggested for easy project updates via `git pull`):
519
 
520 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
521
 
522 12 zero_gravi
Create a new project with your FPGA design tool of choice and add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
523
folder to this project. Make sure to add them to a **new library** called `neorv32`.
524 2 zero_gravi
 
525 11 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in your own project or you
526
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) (from the project's
527
[`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder) as top entity.
528 12 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART, clock, reset and some GPIO output sginals are
529 11 zero_gravi
propagated (basically, its a FPGA "hello world" example):
530 2 zero_gravi
 
531
```vhdl
532 9 zero_gravi
  entity neorv32_test_setup is
533
    port (
534
      -- Global control --
535
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
536
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
537
      -- GPIO --
538
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
539
      -- UART --
540
      uart_txd_o : out std_ulogic; -- UART send data
541
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
542
    );
543
  end neorv32_test_setup;
544 2 zero_gravi
```
545
 
546
 
547
### Compiling and Uploading One of the Example Projects
548
 
549 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
550 2 zero_gravi
`sw/example/blink_led` and run:
551
 
552
    neorv32/sw/example/blink_led$ make check
553
 
554 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
555
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
556
executable `neorv32_exe.bin` in the same folder.
557 2 zero_gravi
 
558
    neorv32/sw/example/blink_led$ make clean_all compile
559
 
560
Connect your FPGA board via UART to you computer and open the according port to interface with the NEORV32 bootloader. The bootloader
561
uses the following default UART configuration:
562
 
563
- 19200 Baud
564
- 8 data bits
565
- 1 stop bit
566
- No parity bits
567
- No transmission / flow control protocol (raw bytes only)
568
- Newline on `\r\n` (carriage return & newline)
569
 
570 9 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` file and run your application image.
571 2 zero_gravi
 
572 9 zero_gravi
```
573
  << NEORV32 Bootloader >>
574
 
575
  BLDV: Jul  6 2020
576
  HWV:  1.0.1.0
577
  CLK:  0x0134FD90 Hz
578 13 zero_gravi
  USER: 0x0001CE40
579 9 zero_gravi
  MISA: 0x42801104
580
  CONF: 0x03FF0035
581
  IMEM: 0x00010000 bytes @ 0x00000000
582
  DMEM: 0x00010000 bytes @ 0x80000000
583
 
584
  Autoboot in 8s. Press key to abort.
585
  Aborted.
586
 
587
  Available CMDs:
588
   h: Help
589
   r: Restart
590
   u: Upload
591
   s: Store to flash
592
   l: Load from flash
593
   e: Execute
594
  CMD:> u
595
  Awaiting neorv32_exe.bin... OK
596
  CMD:> e
597
  Booting...
598
 
599
  Blinking LED demo program
600
```
601 2 zero_gravi
 
602 9 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
603 2 zero_gravi
 
604
 
605
 
606 9 zero_gravi
## Contribute
607 2 zero_gravi
 
608 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
609
to open a [new issue](https://github.com/stnolting/neorv32/issues).
610 2 zero_gravi
 
611 9 zero_gravi
If you want to get involved you can also directly drop me a line (mailto:stnolting@gmail.com).
612
Please also check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md).
613 2 zero_gravi
 
614
 
615 9 zero_gravi
 
616 11 zero_gravi
## Legal
617 2 zero_gravi
 
618 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
619 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
620
 
621
#### Citation
622
 
623 2 zero_gravi
If you are using the NEORV32 Processor in some kind of publication, please cite it as follows:
624
 
625
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
626
 
627 9 zero_gravi
#### BSD 3-Clause License
628 2 zero_gravi
 
629
Copyright (c) 2020, Stephan Nolting. All rights reserved.
630
 
631
Redistribution and use in source and binary forms, with or without modification, are
632
permitted provided that the following conditions are met:
633
 
634
1. Redistributions of source code must retain the above copyright notice, this list of
635
conditions and the following disclaimer.
636
2. Redistributions in binary form must reproduce the above copyright notice, this list of
637
conditions and the following disclaimer in the documentation and/or other materials
638
provided with the distribution.
639
3. Neither the name of the copyright holder nor the names of its contributors may be used to
640
endorse or promote products derived from this software without specific prior written
641
permission.
642
 
643
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
644
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
645
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
646
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
647
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
648
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
649
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
650
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
651
OF THE POSSIBILITY OF SUCH DAMAGE.
652
 
653
 
654 9 zero_gravi
#### Limitation of Liability for External Links
655
 
656
Our website contains links to the websites of third parties („external links“). As the
657
content of these websites is not under our control, we cannot assume any liability for
658
such external content. In all cases, the provider of information of the linked websites
659
is liable for the content and accuracy of the information provided. At the point in time
660
when the links were placed, no infringements of the law were recognisable to us. As soon
661
as an infringement of the law becomes known to us, we will immediately remove the
662
link in question.
663
 
664
 
665 11 zero_gravi
#### Proprietary  Notice
666 9 zero_gravi
 
667 2 zero_gravi
"Windows" is a trademark of Microsoft Corporation.
668
 
669
"Artix" and "Vivado" are trademarks of Xilinx Inc.
670
 
671 11 zero_gravi
"Cyclone", "Quartus Prime", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
672 2 zero_gravi
 
673 11 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx, Inc.
674
 
675 2 zero_gravi
"iCE40", "UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
676
 
677 13 zero_gravi
"AXI" and "AXI-Lite" are trademarks of Arm Holdings plc.
678 2 zero_gravi
 
679
 
680 18 zero_gravi
## Acknowledgements
681 9 zero_gravi
 
682 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
683
 
684 11 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free :heart:
685
 
686 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
687
 
688
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
689
 
690
 
691
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
692
 
693
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
694
 
695
 
696 14 zero_gravi
 
697 6 zero_gravi
Made with :coffee: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.