OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 26

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32) (RISC-V)
2 2 zero_gravi
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7
 
8
## Table of Content
9
 
10
* [Introduction](#Introduction)
11
* [Features](#Features)
12
* [FPGA Implementation Results](#FPGA-Implementation-Results)
13
* [Performance](#Performance)
14
* [Top Entity](#Top-Entity)
15
* [**Getting Started**](#Getting-Started)
16 9 zero_gravi
* [Contribute](#Contribute)
17 2 zero_gravi
* [Legal](#Legal)
18
 
19
 
20
 
21
## Introduction
22
 
23 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
24 22 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The project consists of two main parts:
25 2 zero_gravi
 
26 11 zero_gravi
 
27 22 zero_gravi
**[NEORV32 CPU](#CPU-Features)**
28 2 zero_gravi
 
29 22 zero_gravi
The CPU implements an `rv32i RISC-V` core with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and
30
`PMP` (physical memory protection) extensions. It passes the official [RISC-V compliance tests](https://github.com/stnolting/neorv32_riscv_compliance)
31
and is compliant to the *Unprivileged ISA Specification [Version 2.2](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)*
32
and a subset of the *Privileged Architecture Specification [Version 1.12-draft](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)*.
33 2 zero_gravi
 
34 22 zero_gravi
If you do not want to use the NEORV32 Processor setup, you can also use the CPU in
35
stand-alone mode and build your own SoC around it.
36
 
37
 
38
**[NEORV32 Processor](#Processor-Features)**
39
 
40
Based on the NEORV32 CPU, the NEORV32 Processor is a full-scale RISC-V microcontroller system
41
that already provides common peripherals like GPIO, serial interfaces, timers, embedded
42
memories and an external bus interface for connectivity and custom extension.
43
All optional features and modules beyond the base CPU can be enabled and configured via
44
[VHDL generics](#Top-Entities).
45
 
46
The processor is intended as ready-to-use auxiliary processor within a larger SoC
47
designs or as stand-alone custom microcontroller. Its top entity can be directly
48
synthesized for any target technology without modifications.
49
 
50
This project comes with a complete software ecosystem that features core
51
libraries for high-level usage of the provided functions and peripherals,
52
makefiles, a runtime environment, several example programs to start with - including a free RTOS demo - and
53
even a builtin bootloader for easy program upload via UART.
54
All software source files provide a doxygen-based documentary (available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)).
55
 
56
 
57
**[How to get started?](Getting-Started)**
58
 
59
The processor is intended to work "out of the box". Just synthesize the
60
[test setup](#Create-a-new-Hardware-Project), upload it to your FPGA board of choice and start playing
61
with the NEORV32. If you do not want to [compile the GCC toolchains](https://github.com/riscv/riscv-gnu-toolchain) by yourself, you can also
62 14 zero_gravi
download [pre-compiled toolchains](https://github.com/stnolting/riscv_gcc_prebuilt) for Linux.
63 2 zero_gravi
 
64 23 zero_gravi
For more information take a look at the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
65 2 zero_gravi
 
66 20 zero_gravi
This project is hosted on [GitHub](https://github.com/stnolting/neorv32) and [opencores.org](https://opencores.org/projects/neorv32).
67
A not-so-complete project log can be found on [hackaday.io](https://hackaday.io/project/174167-the-neorv32-risc-v-processor).
68
 
69
 
70 15 zero_gravi
###  Key Features
71 2 zero_gravi
 
72 23 zero_gravi
- RISC-V-compliant `rv32i` CPU with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and `PMP` (physical memory protection) extensions
73 19 zero_gravi
- GCC-based toolchain ([pre-compiled rv32i and rv32e toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
74 15 zero_gravi
- Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
75
- [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
76
- Detailed [datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
77
- Completely described in behavioral, platform-independent VHDL – no primitives, macros, etc.
78
- Fully synchronous design, no latches, no gated clocks
79
- Small hardware footprint and high operating frequency
80
- Highly configurable CPU and processor setup
81 22 zero_gravi
- [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
82 15 zero_gravi
 
83 22 zero_gravi
 
84 2 zero_gravi
### Design Principles
85
 
86
 * From zero to main(): Completely open source and documented.
87
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
88
 * Easy to use – working out of the box.
89
 * Clean synchronous design, no wacky combinatorial interfaces.
90 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
91 2 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
92
 
93
 
94
### Status
95 3 zero_gravi
 
96 22 zero_gravi
The processor is synthesizable (tested with Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
97 6 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the CoreMark benchmark.
98 2 zero_gravi
 
99 8 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
100 2 zero_gravi
 
101 11 zero_gravi
| Project component                                                               | CI status | Note     |
102
|:--------------------------------------------------------------------------------|:----------|:---------|
103 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
104
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
105
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
106 6 zero_gravi
 
107
 
108 9 zero_gravi
### To-Do / Wish List
109 7 zero_gravi
 
110 16 zero_gravi
- Add AXI(-Lite) bridges
111 22 zero_gravi
- Synthesis results (+ wrappers?) for more platforms
112
- Maybe port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
113
- Implement further CPU extensions:
114
  - Atomic operations (`A`)
115 23 zero_gravi
  - Bitmanipulation operations (`B`), when they are "official"
116 22 zero_gravi
  - Floating-point instructions (`F`)
117
  - ...
118 7 zero_gravi
 
119
 
120 2 zero_gravi
## Features
121
 
122
### Processor Features
123
 
124 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
125
 
126 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
127 26 zero_gravi
is highly customizable via the processor's top generics.
128 2 zero_gravi
 
129 23 zero_gravi
- Optional processor-internal data and instruction memories (**DMEM** / **IMEM**)
130
- Optional internal **Bootloader** with UART console and automatic SPI flash boot option
131
- Optional machine system timer (**MTIME**), RISC-V-compliant
132
- Optional universal asynchronous receiver and transmitter (**UART**)
133
- Optional 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
134
- Optional two wire serial interface controller (**TWI**), compatible to the I²C standard
135 25 zero_gravi
- Optional general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
136 23 zero_gravi
- Optional 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**)
137
- Optional watchdog timer (**WDT**)
138
- Optional PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
139
- Optional GARO-based true random number generator (**TRNG**)
140
- Optional dummy device (**DEVNULL**); used for debugging; can also be used for *fast* simulation console output
141
- Optional custom functions unit (**CFU**) for tightly-coupled custom co-processors
142
- System configuration information memory to check hardware configuration by software (**SYSINFO**)
143
 
144 2 zero_gravi
### CPU Features
145
 
146 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_cpu.png)
147
 
148
The CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
149 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
150
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
151 2 zero_gravi
 
152 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
153
the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
154
 
155
 
156
**General**:
157 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
158 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
159 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
160 23 zero_gravi
  * Little-endian byte order
161
  * All reserved or unimplemented instructions will raise an illegal instruction exception
162 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
163 11 zero_gravi
 
164
 
165 3 zero_gravi
**RV32I base instruction set** (`I` extension):
166 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
167 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
168 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
169 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
170 2 zero_gravi
 
171 3 zero_gravi
**Compressed instructions** (`C` extension):
172 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
173 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
174 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
175 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
176 2 zero_gravi
 
177 3 zero_gravi
**Embedded CPU version** (`E` extension):
178 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
179
 
180 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
181 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
182
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
183 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
184
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
185 2 zero_gravi
 
186 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
187 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
188
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
189 8 zero_gravi
  * System instructions: `MRET` `WFI`
190 12 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[h]` `time[h]`
191 23 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause`(read-only!) `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
192 2 zero_gravi
  * Supported exceptions and interrupts:
193
    * Misaligned instruction address
194
    * Instruction access fault
195
    * Illegal instruction
196 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
197 2 zero_gravi
    * Load address misaligned
198
    * Load access fault
199 4 zero_gravi
    * Store address misaligned
200 2 zero_gravi
    * Store access fault
201 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
202 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
203
    * Machine software interrupt `msi` (via external signal)
204
    * Machine external interrupt `mei` (via external signal)
205
    * Four fast interrupt requests (custom extension)
206 2 zero_gravi
 
207 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
208 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
209 15 zero_gravi
 
210 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
211 17 zero_gravi
  * System instructions: `FENCE.I`
212 8 zero_gravi
 
213 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
214 23 zero_gravi
  * Additional machine CSRs: `pmpcfg0` `pmpcfg1` `pmpaddr0` `pmpaddr1` `pmpaddr2` `pmpaddr3` `pmpaddr4` `pmpaddr5` `pmpaddr6` `pmpaddr7`
215 2 zero_gravi
 
216 15 zero_gravi
 
217 23 zero_gravi
### Non-RISC-V-Compliant Issues
218
 
219
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime
220
* `mcause` CSR is read-only
221
* The `[m]cycleh` and `[m]instreth` CSR counters are only 20-bit wide (in contrast to original 32-bit)
222
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
223
 
224
 
225
### NEORV32-Specific CPU Extensions
226
 
227
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
228
 
229
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
230
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
231
 
232
 
233
 
234 2 zero_gravi
## FPGA Implementation Results
235
 
236 23 zero_gravi
### NEORV32 CPU
237
 
238
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
239 2 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
240 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
241 26 zero_gravi
of the CPU's generics is assumed (for example no PMP). No constraints were used at all.
242 2 zero_gravi
 
243 26 zero_gravi
Results generated for hardware version: `1.4.3.3`
244 2 zero_gravi
 
245 26 zero_gravi
| CPU Configuration                      | LEs        | FFs      | Memory bits | DSPs | f_max   |
246
|:---------------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
247
| `rv32i`                                |       1033 |      567 |       2048  |    0 | 120 MHz |
248
| `rv32i`   + `u` + `Zicsr` + `Zifencei` |       1778 |      806 |       2048  |    0 | 103 MHz |
249
| `rv32im`  + `u` + `Zicsr` + `Zifencei` |       2389 |     1052 |       2048  |    0 | 102 MHz |
250
| `rv32imc` + `u` + `Zicsr` + `Zifencei` |       2644 |     1053 |       2048  |    0 | 106 MHz |
251
| `rv32emc` + `u` + `Zicsr` + `Zifencei` |       2646 |     1050 |       1024  |    0 | 103 MHz |
252 2 zero_gravi
 
253
 
254 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
255
 
256 26 zero_gravi
Results generated for hardware version: `1.4.3.3`
257 11 zero_gravi
 
258 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
259
|:----------|:-----------------------------------------------------|:---:|:---:|:-----------:|:----:|
260 26 zero_gravi
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |    0 |
261
| BUSSWITCH | Mux for CPU I & D interfaces                         |  59 |   8 |           0 |    0 |
262 25 zero_gravi
| CFU       | Custom functions unit                                |   - |   - |           - |    - |
263 26 zero_gravi
| DEVNULL   | Dummy device                                         |   1 |   1 |           0 |    0 |
264
| DMEM      | Processor-internal data memory (default 8kB)         |  13 |   2 |      65 536 |    0 |
265
| GPIO      | General purpose input/output ports                   |  69 |  65 |           0 |    0 |
266
| IMEM      | Processor-internal instruction memory (default 16kb) |   9 |   2 |     131 072 |    0 |
267
| MTIME     | Machine system timer                                 | 281 | 166 |           0 |    0 |
268 25 zero_gravi
| PWM       | Pulse-width modulation controller                    |  72 |  69 |           0 |    0 |
269 26 zero_gravi
| SPI       | Serial peripheral interface                          | 189 | 125 |           0 |    0 |
270 25 zero_gravi
| SYSINFO   | System configuration information memory              |  10 |   9 |           0 |    0 |
271 26 zero_gravi
| TRNG      | True random number generator                         | 175 | 132 |           0 |    0 |
272
| TWI       | Two-wire interface                                   |  72 |  44 |           0 |    0 |
273
| UART      | Universal asynchronous receiver/transmitter          | 175 | 132 |           0 |    0 |
274
| WDT       | Watchdog timer                                       |  60 |  45 |           0 |    0 |
275 2 zero_gravi
 
276
 
277 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
278 6 zero_gravi
 
279 23 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *all provided peripherals* (but not the _CFU_),
280
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
281 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
282 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
283 6 zero_gravi
 
284 26 zero_gravi
Results generated for hardware version: `1.4.3.3`
285 6 zero_gravi
 
286 26 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | Strategy | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
287
|:--------|:----------------------------------|:-----------------|:---------------------------|:-------- |:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
288
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 19.1    | balanced | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 4120 (18%) | 1944  (9%) | 0 (0%) | 231424 (38%) |          - |        - |       103 MHz |
289
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | default  | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4288 (81%) | 1693 (32%) | 0 (0%) |            - |   12 (40%) | 4 (100%) |  *c* 22.5 MHz |
290
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | default  | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2385 (11%) | 2008  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
291 2 zero_gravi
 
292 23 zero_gravi
**_Notes_**
293 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
294 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
295
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
296 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
297
bootloader to store and automatically boot an application program after reset (both tested successfully).
298 22 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 32kB.
299 2 zero_gravi
 
300 22 zero_gravi
 
301
 
302 2 zero_gravi
## Performance
303
 
304
### CoreMark Benchmark
305
 
306
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
307
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
308
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
309
 
310 22 zero_gravi
Results generated for hardware version: `1.3.7.3`
311 2 zero_gravi
 
312
~~~
313
**Configuration**
314 12 zero_gravi
Hardware:    32kB IMEM, 16kB DMEM, 100MHz clock
315
CoreMark:    2000 iterations, MEM_METHOD is MEM_STACK
316 14 zero_gravi
Compiler:    RISCV32-GCC 10.1.0
317 12 zero_gravi
Peripherals: UART for printing the results
318 2 zero_gravi
~~~
319
 
320 22 zero_gravi
| CPU                    | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
321
|:-----------------------|:---------------:|:------------:|:--------------:|:-------------:|
322
| `rv32i`                |    26 748 bytes |        `-O3` |          28.98 |        0.2898 |
323
| `rv32im`               |    25 580 bytes |        `-O3` |          60.60 |        0.6060 |
324
| `rv32imc`              |    19 636 bytes |        `-O3` |          62.50 |        0.6250 |
325
| `rv32imc` + _FAST_MUL_ |    19 636 bytes |        `-O3` |          76.92 |        0.7692 |
326 2 zero_gravi
 
327 20 zero_gravi
The _FAST_MUL_ configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic).
328 2 zero_gravi
 
329 22 zero_gravi
 
330 2 zero_gravi
### Instruction Cycles
331
 
332 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
333 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
334
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
335 2 zero_gravi
CPU extensions.
336
 
337
Please note that the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
338
`M` extension use a bit-serial approach and require several cycles for completion.
339
 
340 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
341 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
342 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
343 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
344 2 zero_gravi
 
345 22 zero_gravi
Results generated for hardware version: `1.3.7.3`
346 2 zero_gravi
 
347 22 zero_gravi
| CPU                    | Required Clock Cycles | Executed Instructions | Average CPI |
348
|:-----------------------|----------------------:|----------------------:|:-----------:|
349
| `rv32i`                |         6 955 817 507 |         1 468 927 290 |        4.73 |
350
| `rv32im`               |         3 376 961 507 |           601 565 750 |        5.61 |
351
| `rv32imc`              |         3 274 832 513 |           601 565 964 |        5.44 |
352
| `rv32imc` + _FAST_MUL_ |         2 689 845 200 |           601 565 890 |        4.47 |
353 2 zero_gravi
 
354 20 zero_gravi
The _FAST_MUL_ configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic).
355 2 zero_gravi
 
356 12 zero_gravi
 
357 22 zero_gravi
 
358 14 zero_gravi
## Top Entities
359 2 zero_gravi
 
360 23 zero_gravi
The top entity of the **NEORV32 Processor** is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) (from the `rtl/core` folder).
361 2 zero_gravi
Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
362
(except for the TWI signals, which are of type *std_logic*).
363
 
364 23 zero_gravi
The top entity of the **NEORV32 CPU** is [**neorv32_cpu.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd) (from the `rtl/core` folder).
365 16 zero_gravi
All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively.
366 14 zero_gravi
 
367
Use the generics to configure the processor/CPU according to your needs. Each generic is initilized with the default configuration.
368 23 zero_gravi
Detailed information regarding the signals and configuration generics can be found in
369
the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
370 2 zero_gravi
 
371 23 zero_gravi
Alternative top entities, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project), can be found
372
in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder.
373 14 zero_gravi
 
374 22 zero_gravi
 
375 26 zero_gravi
### NEORV32 CPU
376 23 zero_gravi
 
377
```vhdl
378
entity neorv32_cpu is
379
  generic (
380
    -- General --
381
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
382
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
383
    -- RISC-V CPU Extensions --
384
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
385
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
386
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
387
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
388
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
389
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
390
    -- Extension Options --
391
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
392
    -- Physical Memory Protection (PMP) --
393
    PMP_USE                      : boolean := false; -- implement PMP?
394
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
395
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
396
    -- Bus Interface --
397
    BUS_TIMEOUT                  : natural := 15     -- cycles after which a valid bus access will timeout
398
  );
399
  port (
400
    -- global control --
401
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
402
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
403
    -- instruction bus interface --
404
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
405
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
406
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
407
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
408
    i_bus_we_o     : out std_ulogic; -- write enable
409
    i_bus_re_o     : out std_ulogic; -- read enable
410
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
411
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
412
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
413
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
414
    -- data bus interface --
415
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
416
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
417
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
418
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
419
    d_bus_we_o     : out std_ulogic; -- write enable
420
    d_bus_re_o     : out std_ulogic; -- read enable
421
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
422
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
423
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
424
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
425
    -- system time input from MTIME --
426
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
427
    -- interrupts (risc-v compliant) --
428
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
429
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
430
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
431
    -- fast interrupts (custom) --
432
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
433
  );
434
end neorv32_cpu;
435
```
436
 
437
 
438 26 zero_gravi
### NEORV32 Processor
439 14 zero_gravi
 
440 2 zero_gravi
```vhdl
441
entity neorv32_top is
442
  generic (
443
    -- General --
444 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
445 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
446 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
447 2 zero_gravi
    -- RISC-V CPU Extensions --
448 14 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
449 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
450 14 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
451 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
452 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
453
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
454 19 zero_gravi
    -- Extension Options --
455
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
456 15 zero_gravi
    -- Physical Memory Protection (PMP) --
457 19 zero_gravi
    PMP_USE                      : boolean := false; -- implement PMP?
458
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
459 23 zero_gravi
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64kB
460
    -- Internal Instruction memory --
461 14 zero_gravi
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
462 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
463 14 zero_gravi
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
464 23 zero_gravi
    -- Internal Data memory --
465 8 zero_gravi
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
466
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
467 23 zero_gravi
    -- External memory interface --
468 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
469
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
470 14 zero_gravi
    MEM_EXT_TIMEOUT              : natural := 15;     -- cycles after which a valid bus access will timeout
471 2 zero_gravi
    -- Processor peripherals --
472 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
473
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
474
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
475
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
476
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
477
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
478
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
479
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
480 23 zero_gravi
    IO_DEVNULL_USE               : boolean := true;   -- implement dummy device (DEVNULL)?
481
    IO_CFU_USE                   : boolean := false   -- implement custom functions unit (CFU)?
482 2 zero_gravi
  );
483
  port (
484
    -- Global control --
485 14 zero_gravi
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
486
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
487 2 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
488 14 zero_gravi
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
489
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
490
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
491
    wb_we_o    : out std_ulogic; -- read/write
492
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
493
    wb_stb_o   : out std_ulogic; -- strobe
494
    wb_cyc_o   : out std_ulogic; -- valid cycle
495
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
496
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
497 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
498 14 zero_gravi
    fence_o    : out std_ulogic; -- indicates an executed FENCE operation
499
    fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
500 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
501 22 zero_gravi
    gpio_o     : out std_ulogic_vector(31 downto 0); -- parallel output
502
    gpio_i     : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
503 2 zero_gravi
    -- UART (available if IO_UART_USE = true) --
504 14 zero_gravi
    uart_txd_o : out std_ulogic; -- UART send data
505
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
506 2 zero_gravi
    -- SPI (available if IO_SPI_USE = true) --
507 14 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
508
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
509
    spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
510
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
511 2 zero_gravi
    -- TWI (available if IO_TWI_USE = true) --
512 14 zero_gravi
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
513
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
514 2 zero_gravi
    -- PWM (available if IO_PWM_USE = true) --
515 14 zero_gravi
    pwm_o      : out std_ulogic_vector(03 downto 0); -- pwm channels
516
    -- Interrupts --
517
    msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
518
    mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
519 2 zero_gravi
  );
520
end neorv32_top;
521
```
522
 
523 22 zero_gravi
 
524 2 zero_gravi
 
525
## Getting Started
526
 
527
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
528
 
529
[![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
530
 
531
 
532 14 zero_gravi
### Toolchain
533 2 zero_gravi
 
534
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
535
and build the toolchain by yourself, or you can download a prebuilt one and install it.
536
 
537 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
538
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
539 2 zero_gravi
 
540 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
541 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
542 2 zero_gravi
 
543 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
544
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
545 2 zero_gravi
 
546
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
547
 
548
 
549 22 zero_gravi
### Dowload the NEORV32 Project
550 2 zero_gravi
 
551 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
552 12 zero_gravi
 
553 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
554
 
555 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
556
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
557 2 zero_gravi
 
558 22 zero_gravi
 
559
### Create a new Hardware Project
560
 
561 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
562
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
563
 
564 11 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in your own project or you
565
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) (from the project's
566
[`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder) as top entity.
567 2 zero_gravi
 
568 23 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output sginals are
569 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
570 23 zero_gravi
 
571 2 zero_gravi
```vhdl
572 9 zero_gravi
  entity neorv32_test_setup is
573
    port (
574
      -- Global control --
575
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
576
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
577
      -- GPIO --
578
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
579
      -- UART --
580
      uart_txd_o : out std_ulogic; -- UART send data
581
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
582
    );
583
  end neorv32_test_setup;
584 2 zero_gravi
```
585
 
586
 
587 23 zero_gravi
### Check the Toolchain
588 2 zero_gravi
 
589 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
590 2 zero_gravi
`sw/example/blink_led` and run:
591
 
592
    neorv32/sw/example/blink_led$ make check
593
 
594 23 zero_gravi
 
595
### Compiling an Example Program
596
 
597 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
598
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
599 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
600 2 zero_gravi
 
601 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
602 2 zero_gravi
 
603 23 zero_gravi
 
604
### Upload the Executable via the Bootloader
605
 
606
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
607 2 zero_gravi
uses the following default UART configuration:
608
 
609
- 19200 Baud
610
- 8 data bits
611
- 1 stop bit
612
- No parity bits
613
- No transmission / flow control protocol (raw bytes only)
614 23 zero_gravi
- Newline on `\r\n` (carriage return & newline) - also for sent data
615 2 zero_gravi
 
616 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
617 2 zero_gravi
 
618 9 zero_gravi
```
619
  << NEORV32 Bootloader >>
620
 
621
  BLDV: Jul  6 2020
622
  HWV:  1.0.1.0
623
  CLK:  0x0134FD90 Hz
624 13 zero_gravi
  USER: 0x0001CE40
625 9 zero_gravi
  MISA: 0x42801104
626
  CONF: 0x03FF0035
627
  IMEM: 0x00010000 bytes @ 0x00000000
628
  DMEM: 0x00010000 bytes @ 0x80000000
629
 
630
  Autoboot in 8s. Press key to abort.
631
  Aborted.
632
 
633
  Available CMDs:
634
   h: Help
635
   r: Restart
636
   u: Upload
637
   s: Store to flash
638
   l: Load from flash
639
   e: Execute
640
  CMD:> u
641
  Awaiting neorv32_exe.bin... OK
642
  CMD:> e
643
  Booting...
644
 
645
  Blinking LED demo program
646
```
647 2 zero_gravi
 
648 9 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 datasheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
649 2 zero_gravi
 
650
 
651
 
652 9 zero_gravi
## Contribute
653 2 zero_gravi
 
654 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
655 23 zero_gravi
to [open a new issue](https://github.com/stnolting/neorv32/issues) or directly [drop me a line](mailto:stnolting@gmail.com).
656 2 zero_gravi
 
657 22 zero_gravi
If you'd like to contribute:
658
 
659 23 zero_gravi
1. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
660
2. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
661
3. Create a new remote for the upstream repo: `git remote add https://github.com/stnolting/neorv32`
662
3. Commit your modifications: `git commit -m "Awesome new feature!"`
663
4. Push to the branch: `git push origin awesome_new_feature_branch`
664 22 zero_gravi
5. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
665
 
666 9 zero_gravi
Please also check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md).
667 2 zero_gravi
 
668
 
669 9 zero_gravi
 
670 11 zero_gravi
## Legal
671 2 zero_gravi
 
672 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
673 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
674
 
675
#### Citation
676
 
677 26 zero_gravi
If you are using the NEORV32 Processor/CPU in some kind of publication, please cite it as follows:
678 2 zero_gravi
 
679 26 zero_gravi
> S. Nolting, "The NEORV32 Processor/CPU", github.com/stnolting/neorv32
680 2 zero_gravi
 
681 9 zero_gravi
#### BSD 3-Clause License
682 2 zero_gravi
 
683
Copyright (c) 2020, Stephan Nolting. All rights reserved.
684
 
685
Redistribution and use in source and binary forms, with or without modification, are
686
permitted provided that the following conditions are met:
687
 
688
1. Redistributions of source code must retain the above copyright notice, this list of
689
conditions and the following disclaimer.
690
2. Redistributions in binary form must reproduce the above copyright notice, this list of
691
conditions and the following disclaimer in the documentation and/or other materials
692
provided with the distribution.
693
3. Neither the name of the copyright holder nor the names of its contributors may be used to
694
endorse or promote products derived from this software without specific prior written
695
permission.
696
 
697
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
698
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
699
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
700
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
701
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
702
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
703
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
704
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
705
OF THE POSSIBILITY OF SUCH DAMAGE.
706
 
707
 
708 9 zero_gravi
#### Limitation of Liability for External Links
709
 
710
Our website contains links to the websites of third parties („external links“). As the
711
content of these websites is not under our control, we cannot assume any liability for
712
such external content. In all cases, the provider of information of the linked websites
713
is liable for the content and accuracy of the information provided. At the point in time
714
when the links were placed, no infringements of the law were recognisable to us. As soon
715
as an infringement of the law becomes known to us, we will immediately remove the
716
link in question.
717
 
718
 
719 11 zero_gravi
#### Proprietary  Notice
720 9 zero_gravi
 
721 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
722
 
723 11 zero_gravi
"Cyclone", "Quartus Prime", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
724 2 zero_gravi
 
725 11 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx, Inc.
726
 
727 2 zero_gravi
"iCE40", "UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
728
 
729 13 zero_gravi
"AXI" and "AXI-Lite" are trademarks of Arm Holdings plc.
730 2 zero_gravi
 
731
 
732 22 zero_gravi
 
733 18 zero_gravi
## Acknowledgements
734 9 zero_gravi
 
735 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
736
 
737 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
738 11 zero_gravi
 
739 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
740
 
741
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
742
 
743
 
744
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
745
 
746
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
747
 
748
 
749 14 zero_gravi
 
750 6 zero_gravi
Made with :coffee: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.