OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32) (RISC-V)
2 2 zero_gravi
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7
 
8
## Table of Content
9
 
10 32 zero_gravi
* [Overview](#Overview)
11
* [Project Status](#Status)
12 2 zero_gravi
* [Features](#Features)
13
* [FPGA Implementation Results](#FPGA-Implementation-Results)
14
* [Performance](#Performance)
15 30 zero_gravi
* [Top Entities](#Top-Entities)
16 2 zero_gravi
* [**Getting Started**](#Getting-Started)
17 9 zero_gravi
* [Contribute](#Contribute)
18 2 zero_gravi
* [Legal](#Legal)
19
 
20
 
21
 
22 32 zero_gravi
## Overview
23 2 zero_gravi
 
24 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
25 22 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The project consists of two main parts:
26 2 zero_gravi
 
27 11 zero_gravi
 
28 27 zero_gravi
### [NEORV32 CPU](#CPU-Features)
29 2 zero_gravi
 
30 22 zero_gravi
The CPU implements an `rv32i RISC-V` core with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and
31
`PMP` (physical memory protection) extensions. It passes the official [RISC-V compliance tests](https://github.com/stnolting/neorv32_riscv_compliance)
32
and is compliant to the *Unprivileged ISA Specification [Version 2.2](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)*
33
and a subset of the *Privileged Architecture Specification [Version 1.12-draft](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)*.
34 2 zero_gravi
 
35 22 zero_gravi
If you do not want to use the NEORV32 Processor setup, you can also use the CPU in
36
stand-alone mode and build your own SoC around it.
37
 
38
 
39 27 zero_gravi
### [NEORV32 Processor](#Processor-Features)
40 22 zero_gravi
 
41 32 zero_gravi
Based on the NEORV32 CPU, the NEORV32 Processor is a full-scale RISC-V microcontroller system (**SoC**)
42 22 zero_gravi
that already provides common peripherals like GPIO, serial interfaces, timers, embedded
43
memories and an external bus interface for connectivity and custom extension.
44
All optional features and modules beyond the base CPU can be enabled and configured via
45
[VHDL generics](#Top-Entities).
46
 
47
The processor is intended as ready-to-use auxiliary processor within a larger SoC
48
designs or as stand-alone custom microcontroller. Its top entity can be directly
49
synthesized for any target technology without modifications.
50
 
51
This project comes with a complete software ecosystem that features core
52
libraries for high-level usage of the provided functions and peripherals,
53
makefiles, a runtime environment, several example programs to start with - including a free RTOS demo - and
54
even a builtin bootloader for easy program upload via UART.
55
All software source files provide a doxygen-based documentary (available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)).
56
 
57
 
58 30 zero_gravi
### [How to get started?](#Getting-Started)
59 22 zero_gravi
 
60
The processor is intended to work "out of the box". Just synthesize the
61
[test setup](#Create-a-new-Hardware-Project), upload it to your FPGA board of choice and start playing
62
with the NEORV32. If you do not want to [compile the GCC toolchains](https://github.com/riscv/riscv-gnu-toolchain) by yourself, you can also
63 14 zero_gravi
download [pre-compiled toolchains](https://github.com/stnolting/riscv_gcc_prebuilt) for Linux.
64 2 zero_gravi
 
65 31 zero_gravi
For more information take a look at the [![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
66 2 zero_gravi
 
67 20 zero_gravi
 
68 32 zero_gravi
### Key Features
69 2 zero_gravi
 
70 32 zero_gravi
* RISC-V-compliant `rv32i` CPU with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and `PMP` (physical memory protection) extensions
71
* GCC-based toolchain ([pre-compiled rv32i and rv32e toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
72
* Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
73
* [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
74
* [**Detailed data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
75
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
76
* Fully synchronous design, no latches, no gated clocks
77
* Small hardware footprint and high operating frequency
78
* Highly configurable CPU and processor setup
79
* [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
80 15 zero_gravi
 
81 22 zero_gravi
 
82 2 zero_gravi
### Design Principles
83
 
84 32 zero_gravi
 * From zero to `main()`: Completely open source and documented.
85 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
86
 * Easy to use – working out of the box.
87
 * Clean synchronous design, no wacky combinatorial interfaces.
88 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
89 2 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
90
 
91
 
92 32 zero_gravi
## Status
93 3 zero_gravi
 
94 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
95 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
96 2 zero_gravi
 
97 31 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
98 2 zero_gravi
 
99 31 zero_gravi
The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
100
 
101 11 zero_gravi
| Project component                                                               | CI status | Note     |
102
|:--------------------------------------------------------------------------------|:----------|:---------|
103 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
104
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
105
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
106 6 zero_gravi
 
107
 
108 9 zero_gravi
### To-Do / Wish List
109 7 zero_gravi
 
110 32 zero_gravi
* Add AXI(-Lite) bridges
111
* Synthesis results (+ wrappers?) for more platforms
112
* Maybe port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
113
* Implement further CPU extensions:
114
  * Atomic operations (`A`)
115
  * Bitmanipulation operations (`B`), when they are "official"
116
  * Floating-point instructions (`F`)
117
  * ...
118 7 zero_gravi
 
119
 
120 2 zero_gravi
## Features
121
 
122 31 zero_gravi
The full-blown data sheet of the NEORV32 Processor/CPU is available as pdf file:
123
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
124
 
125 2 zero_gravi
### Processor Features
126
 
127 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
128
 
129 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
130 26 zero_gravi
is highly customizable via the processor's top generics.
131 2 zero_gravi
 
132 32 zero_gravi
* Optional processor-internal data and instruction memories (**DMEM** / **IMEM**)
133
* Optional internal **Bootloader** with UART console and automatic SPI flash boot option
134
* Optional machine system timer (**MTIME**), RISC-V-compliant
135
* Optional universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
136
* Optional 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
137
* Optional two wire serial interface controller (**TWI**), compatible to the I²C standard
138
* Optional general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
139
* Optional 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
140
* Optional watchdog timer (**WDT**)
141
* Optional PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
142
* Optional GARO-based true random number generator (**TRNG**)
143
* Optional custom functions unit (**CFU**) for tightly-coupled custom co-processors
144
* System configuration information memory to check hardware configuration by software (**SYSINFO**)
145 23 zero_gravi
 
146 2 zero_gravi
### CPU Features
147
 
148 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_cpu.png)
149
 
150
The CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
151 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
152
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
153 2 zero_gravi
 
154 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
155 31 zero_gravi
the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
156 11 zero_gravi
 
157
 
158
**General**:
159 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
160 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
161 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
162 23 zero_gravi
  * Little-endian byte order
163
  * All reserved or unimplemented instructions will raise an illegal instruction exception
164 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
165 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
166 11 zero_gravi
 
167
 
168 3 zero_gravi
**RV32I base instruction set** (`I` extension):
169 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
170 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
171 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
172 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
173 2 zero_gravi
 
174 3 zero_gravi
**Compressed instructions** (`C` extension):
175 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
176 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
177 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
178 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
179 2 zero_gravi
 
180 3 zero_gravi
**Embedded CPU version** (`E` extension):
181 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
182
 
183 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
184 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
185
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
186 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
187
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
188 2 zero_gravi
 
189 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
190 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
191
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
192 8 zero_gravi
  * System instructions: `MRET` `WFI`
193 27 zero_gravi
  * Counter CSRs: `cycle` `cycleh` `instret` `instreth` `time` `timeh` `mcycle` `mcycleh` `minstret` `minstreth`
194 30 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
195 2 zero_gravi
  * Supported exceptions and interrupts:
196
    * Misaligned instruction address
197
    * Instruction access fault
198
    * Illegal instruction
199 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
200 2 zero_gravi
    * Load address misaligned
201
    * Load access fault
202 4 zero_gravi
    * Store address misaligned
203 2 zero_gravi
    * Store access fault
204 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
205 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
206
    * Machine software interrupt `msi` (via external signal)
207
    * Machine external interrupt `mei` (via external signal)
208
    * Four fast interrupt requests (custom extension)
209 2 zero_gravi
 
210 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
211 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
212 15 zero_gravi
 
213 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
214 17 zero_gravi
  * System instructions: `FENCE.I`
215 8 zero_gravi
 
216 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
217 23 zero_gravi
  * Additional machine CSRs: `pmpcfg0` `pmpcfg1` `pmpaddr0` `pmpaddr1` `pmpaddr2` `pmpaddr3` `pmpaddr4` `pmpaddr5` `pmpaddr6` `pmpaddr7`
218 2 zero_gravi
 
219 15 zero_gravi
 
220 23 zero_gravi
### Non-RISC-V-Compliant Issues
221
 
222 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
223 23 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
224
 
225
 
226
### NEORV32-Specific CPU Extensions
227
 
228
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
229
 
230
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
231
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
232
 
233
 
234
 
235 2 zero_gravi
## FPGA Implementation Results
236
 
237 23 zero_gravi
### NEORV32 CPU
238
 
239
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
240 2 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
241 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
242 26 zero_gravi
of the CPU's generics is assumed (for example no PMP). No constraints were used at all.
243 2 zero_gravi
 
244 31 zero_gravi
Results generated for hardware version: `1.4.4.8`
245 2 zero_gravi
 
246 31 zero_gravi
| CPU Configuration                      | LEs        | FFs      | Memory bits | DSPs | f_max    |
247
|:---------------------------------------|:----------:|:--------:|:-----------:|:----:|:--------:|
248
| `rv32i`                                |        983 |      438 |       2048  |    0 | ~120 MHz |
249
| `rv32i`   + `u` + `Zicsr` + `Zifencei` |       1877 |      802 |       2048  |    0 | ~112 MHz |
250
| `rv32im`  + `u` + `Zicsr` + `Zifencei` |       2374 |     1048 |       2048  |    0 | ~110 MHz |
251
| `rv32imc` + `u` + `Zicsr` + `Zifencei` |       2650 |     1064 |       2048  |    0 | ~110 MHz |
252
| `rv32emc` + `u` + `Zicsr` + `Zifencei` |       2680 |     1061 |       1024  |    0 | ~110 MHz |
253 2 zero_gravi
 
254
 
255 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
256
 
257 31 zero_gravi
Results generated for hardware version: `1.4.4.8`
258 11 zero_gravi
 
259 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
260 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
261
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   4 |   1 |      32 768 |    0 |
262
| BUSSWITCH | Mux for CPU I & D interfaces                         |  62 |   8 |           0 |    0 |
263 25 zero_gravi
| CFU       | Custom functions unit                                |   - |   - |           - |    - |
264 26 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |  13 |   2 |      65 536 |    0 |
265 31 zero_gravi
| GPIO      | General purpose input/output ports                   |  66 |  65 |           0 |    0 |
266
| IMEM      | Processor-internal instruction memory (default 16kb) |   7 |   2 |     131 072 |    0 |
267
| MTIME     | Machine system timer                                 | 268 | 166 |           0 |    0 |
268 25 zero_gravi
| PWM       | Pulse-width modulation controller                    |  72 |  69 |           0 |    0 |
269 31 zero_gravi
| SPI       | Serial peripheral interface                          | 184 | 125 |           0 |    0 |
270
| SYSINFO   | System configuration information memory              |  11 |   9 |           0 |    0 |
271
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
272
| TWI       | Two-wire interface                                   |  74 |  44 |           0 |    0 |
273 26 zero_gravi
| UART      | Universal asynchronous receiver/transmitter          | 175 | 132 |           0 |    0 |
274 31 zero_gravi
| WDT       | Watchdog timer                                       |  58 |  45 |           0 |    0 |
275
| WISHBONE  | External memory interface (`MEM_EXT_REG_STAGES` = 2) | 106 | 104 |           0 |    0 |
276 2 zero_gravi
 
277
 
278 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
279 6 zero_gravi
 
280 31 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFU_ and no _TRNG_),
281 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
282 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
283 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
284 6 zero_gravi
 
285 31 zero_gravi
Results generated for hardware version: `1.4.4.8`
286 6 zero_gravi
 
287 26 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | Strategy | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
288
|:--------|:----------------------------------|:-----------------|:---------------------------|:-------- |:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
289 31 zero_gravi
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 19.1    | balanced | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 4008 (18%) | 1849  (9%) | 0 (0%) | 231424 (38%) |          - |        - |       105 MHz |
290
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | default  | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4296 (81%) | 1611 (30%) | 0 (0%) |            - |   12 (40%) | 4 (100%) |  *c* 22.5 MHz |
291
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | default  | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2390 (11%) | 1888  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
292 2 zero_gravi
 
293 23 zero_gravi
**_Notes_**
294 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
295 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
296
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
297 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
298
bootloader to store and automatically boot an application program after reset (both tested successfully).
299 22 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 32kB.
300 2 zero_gravi
 
301 22 zero_gravi
 
302
 
303 2 zero_gravi
## Performance
304
 
305
### CoreMark Benchmark
306
 
307
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
308
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
309
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
310
 
311 31 zero_gravi
Results generated for hardware version: `1.4.4.8`
312 2 zero_gravi
 
313
~~~
314
**Configuration**
315 12 zero_gravi
Hardware:    32kB IMEM, 16kB DMEM, 100MHz clock
316
CoreMark:    2000 iterations, MEM_METHOD is MEM_STACK
317 32 zero_gravi
Compiler:    RISCV32-GCC 10.1.0 (rv32i toolchain)
318
Flags:       default, see makefile
319 12 zero_gravi
Peripherals: UART for printing the results
320 2 zero_gravi
~~~
321
 
322 31 zero_gravi
| CPU                       | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
323
|:--------------------------|:---------------:|:------------:|:--------------:|:-------------:|
324 32 zero_gravi
| `rv32i`                   |    26 940 bytes |        `-O3` |          33.89 |    **0.3389** |
325
| `rv32im`                  |    25 772 bytes |        `-O3` |          64.51 |    **0.6451** |
326
| `rv32imc`                 |    20 524 bytes |        `-O3` |          64.51 |    **0.6451** |
327
| `rv32imc` + `FAST_MUL_EN` |    20 524 bytes |        `-O3` |          80.00 |    **0.8000** |
328 2 zero_gravi
 
329 31 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic).
330 2 zero_gravi
 
331 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
332 22 zero_gravi
 
333 2 zero_gravi
### Instruction Cycles
334
 
335 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
336 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
337
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
338 2 zero_gravi
CPU extensions.
339
 
340
Please note that the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
341
`M` extension use a bit-serial approach and require several cycles for completion.
342
 
343 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
344 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
345 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
346 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
347 2 zero_gravi
 
348 31 zero_gravi
Results generated for hardware version: `1.4.4.8`
349 2 zero_gravi
 
350 33 zero_gravi
| CPU                       | Required Clock Cycles | Executed Instructions | Average CPI |
351
|:--------------------------|----------------------:|----------------------:|:-----------:|
352
| `rv32i`                   |         5 945 938 586 |         1 469 587 406 |    **4.05** |
353
| `rv32im`                  |         3 110 282 586 |           602 225 760 |    **5.16** |
354
| `rv32imc`                 |         3 172 969 968 |           615 388 924 |    **5.16** |
355
| `rv32imc` + `FAST_MUL_EN` |         2 590 417 968 |           615 388 890 |    **4.21** |
356 2 zero_gravi
 
357 31 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic).
358 2 zero_gravi
 
359 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
360 12 zero_gravi
 
361 22 zero_gravi
 
362 31 zero_gravi
 
363 14 zero_gravi
## Top Entities
364 2 zero_gravi
 
365 30 zero_gravi
The top entity of the **NEORV32 Processor** is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) (from `rtl/core`).
366 2 zero_gravi
Just instantiate this file in your project and you are ready to go! All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
367
(except for the TWI signals, which are of type *std_logic*).
368
 
369 30 zero_gravi
The top entity of the **NEORV32 CPU** is [**neorv32_cpu.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd) (from `rtl/core`).
370 16 zero_gravi
All signals of this top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively.
371 14 zero_gravi
 
372
Use the generics to configure the processor/CPU according to your needs. Each generic is initilized with the default configuration.
373 23 zero_gravi
Detailed information regarding the signals and configuration generics can be found in
374
the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
375 2 zero_gravi
 
376 30 zero_gravi
Alternative top entities, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
377
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
378 14 zero_gravi
 
379 22 zero_gravi
 
380 26 zero_gravi
### NEORV32 CPU
381 23 zero_gravi
 
382
```vhdl
383
entity neorv32_cpu is
384
  generic (
385
    -- General --
386
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
387
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
388
    -- RISC-V CPU Extensions --
389
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
390
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
391
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
392
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
393
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
394
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
395
    -- Extension Options --
396
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
397
    -- Physical Memory Protection (PMP) --
398
    PMP_USE                      : boolean := false; -- implement PMP?
399
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
400 30 zero_gravi
    PMP_GRANULARITY              : natural := 14     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
401 23 zero_gravi
  );
402
  port (
403
    -- global control --
404
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
405
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
406
    -- instruction bus interface --
407
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
408
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
409
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
410
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
411
    i_bus_we_o     : out std_ulogic; -- write enable
412
    i_bus_re_o     : out std_ulogic; -- read enable
413
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
414
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
415
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
416
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
417
    -- data bus interface --
418
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
419
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
420
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
421
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
422
    d_bus_we_o     : out std_ulogic; -- write enable
423
    d_bus_re_o     : out std_ulogic; -- read enable
424
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
425
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
426
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
427
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
428
    -- system time input from MTIME --
429
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
430
    -- interrupts (risc-v compliant) --
431
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
432
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
433
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
434
    -- fast interrupts (custom) --
435
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
436
  );
437
end neorv32_cpu;
438
```
439
 
440
 
441 26 zero_gravi
### NEORV32 Processor
442 14 zero_gravi
 
443 2 zero_gravi
```vhdl
444
entity neorv32_top is
445
  generic (
446
    -- General --
447 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
448 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
449 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
450 2 zero_gravi
    -- RISC-V CPU Extensions --
451 14 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
452 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
453 14 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
454 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
455 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
456
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
457 19 zero_gravi
    -- Extension Options --
458
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
459 15 zero_gravi
    -- Physical Memory Protection (PMP) --
460 19 zero_gravi
    PMP_USE                      : boolean := false; -- implement PMP?
461
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
462 23 zero_gravi
    PMP_GRANULARITY              : natural := 14;    -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64kB
463
    -- Internal Instruction memory --
464 14 zero_gravi
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
465 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
466 14 zero_gravi
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
467 23 zero_gravi
    -- Internal Data memory --
468 8 zero_gravi
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
469
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
470 23 zero_gravi
    -- External memory interface --
471 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
472
    MEM_EXT_REG_STAGES           : natural := 2;      -- number of interface register stages (0,1,2)
473 2 zero_gravi
    -- Processor peripherals --
474 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
475
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
476
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
477
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
478
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
479
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
480
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
481
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
482 23 zero_gravi
    IO_CFU_USE                   : boolean := false   -- implement custom functions unit (CFU)?
483 2 zero_gravi
  );
484
  port (
485
    -- Global control --
486 14 zero_gravi
    clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
487
    rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
488 2 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
489 14 zero_gravi
    wb_adr_o   : out std_ulogic_vector(31 downto 0); -- address
490
    wb_dat_i   : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
491
    wb_dat_o   : out std_ulogic_vector(31 downto 0); -- write data
492
    wb_we_o    : out std_ulogic; -- read/write
493
    wb_sel_o   : out std_ulogic_vector(03 downto 0); -- byte enable
494
    wb_stb_o   : out std_ulogic; -- strobe
495
    wb_cyc_o   : out std_ulogic; -- valid cycle
496
    wb_ack_i   : in  std_ulogic := '0'; -- transfer acknowledge
497
    wb_err_i   : in  std_ulogic := '0'; -- transfer error
498 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
499 14 zero_gravi
    fence_o    : out std_ulogic; -- indicates an executed FENCE operation
500
    fencei_o   : out std_ulogic; -- indicates an executed FENCEI operation
501 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
502 22 zero_gravi
    gpio_o     : out std_ulogic_vector(31 downto 0); -- parallel output
503
    gpio_i     : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
504 2 zero_gravi
    -- UART (available if IO_UART_USE = true) --
505 14 zero_gravi
    uart_txd_o : out std_ulogic; -- UART send data
506
    uart_rxd_i : in  std_ulogic := '0'; -- UART receive data
507 2 zero_gravi
    -- SPI (available if IO_SPI_USE = true) --
508 14 zero_gravi
    spi_sck_o  : out std_ulogic; -- SPI serial clock
509
    spi_sdo_o  : out std_ulogic; -- controller data out, peripheral data in
510
    spi_sdi_i  : in  std_ulogic := '0'; -- controller data in, peripheral data out
511
    spi_csn_o  : out std_ulogic_vector(07 downto 0); -- SPI CS
512 2 zero_gravi
    -- TWI (available if IO_TWI_USE = true) --
513 14 zero_gravi
    twi_sda_io : inout std_logic := 'H'; -- twi serial data line
514
    twi_scl_io : inout std_logic := 'H'; -- twi serial clock line
515 2 zero_gravi
    -- PWM (available if IO_PWM_USE = true) --
516 14 zero_gravi
    pwm_o      : out std_ulogic_vector(03 downto 0); -- pwm channels
517
    -- Interrupts --
518
    msw_irq_i  : in  std_ulogic := '0'; -- machine software interrupt
519
    mext_irq_i : in  std_ulogic := '0'  -- machine external interrupt
520 2 zero_gravi
  );
521
end neorv32_top;
522
```
523
 
524 22 zero_gravi
 
525 2 zero_gravi
 
526
## Getting Started
527
 
528
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
529
 
530 31 zero_gravi
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
531 2 zero_gravi
 
532
 
533 14 zero_gravi
### Toolchain
534 2 zero_gravi
 
535
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
536
and build the toolchain by yourself, or you can download a prebuilt one and install it.
537
 
538 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
539
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
540 2 zero_gravi
 
541 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
542 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
543 2 zero_gravi
 
544 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
545
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
546 2 zero_gravi
 
547
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
548
 
549
 
550 22 zero_gravi
### Dowload the NEORV32 Project
551 2 zero_gravi
 
552 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
553 12 zero_gravi
 
554 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
555
 
556 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
557
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
558 2 zero_gravi
 
559 22 zero_gravi
 
560
### Create a new Hardware Project
561
 
562 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
563
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
564
 
565 11 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in your own project or you
566
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) (from the project's
567
[`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder) as top entity.
568 2 zero_gravi
 
569 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
570 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
571 23 zero_gravi
 
572 2 zero_gravi
```vhdl
573 9 zero_gravi
  entity neorv32_test_setup is
574
    port (
575
      -- Global control --
576
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
577
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
578
      -- GPIO --
579
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
580
      -- UART --
581
      uart_txd_o : out std_ulogic; -- UART send data
582
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
583
    );
584
  end neorv32_test_setup;
585 2 zero_gravi
```
586
 
587
 
588 23 zero_gravi
### Check the Toolchain
589 2 zero_gravi
 
590 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
591 2 zero_gravi
`sw/example/blink_led` and run:
592
 
593
    neorv32/sw/example/blink_led$ make check
594
 
595 23 zero_gravi
 
596
### Compiling an Example Program
597
 
598 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
599
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
600 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
601 2 zero_gravi
 
602 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
603 2 zero_gravi
 
604 23 zero_gravi
 
605
### Upload the Executable via the Bootloader
606
 
607
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
608 2 zero_gravi
uses the following default UART configuration:
609
 
610 32 zero_gravi
* 19200 Baud
611
* 8 data bits
612
* 1 stop bit
613
* No parity bits
614
* No transmission / flow control protocol (raw bytes only)
615
* Newline on `\r\n` (carriage return & newline) - also for sent data
616 2 zero_gravi
 
617 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
618 2 zero_gravi
 
619 9 zero_gravi
```
620
  << NEORV32 Bootloader >>
621
 
622
  BLDV: Jul  6 2020
623
  HWV:  1.0.1.0
624
  CLK:  0x0134FD90 Hz
625 13 zero_gravi
  USER: 0x0001CE40
626 9 zero_gravi
  MISA: 0x42801104
627 27 zero_gravi
  PROC: 0x03FF0035
628 9 zero_gravi
  IMEM: 0x00010000 bytes @ 0x00000000
629
  DMEM: 0x00010000 bytes @ 0x80000000
630
 
631
  Autoboot in 8s. Press key to abort.
632
  Aborted.
633
 
634
  Available CMDs:
635
   h: Help
636
   r: Restart
637
   u: Upload
638
   s: Store to flash
639
   l: Load from flash
640
   e: Execute
641
  CMD:> u
642
  Awaiting neorv32_exe.bin... OK
643
  CMD:> e
644
  Booting...
645
 
646
  Blinking LED demo program
647
```
648 2 zero_gravi
 
649 31 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
650 2 zero_gravi
 
651
 
652
 
653 9 zero_gravi
## Contribute
654 2 zero_gravi
 
655 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
656 23 zero_gravi
to [open a new issue](https://github.com/stnolting/neorv32/issues) or directly [drop me a line](mailto:stnolting@gmail.com).
657 2 zero_gravi
 
658 22 zero_gravi
If you'd like to contribute:
659
 
660 23 zero_gravi
1. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
661
2. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
662
3. Create a new remote for the upstream repo: `git remote add https://github.com/stnolting/neorv32`
663
3. Commit your modifications: `git commit -m "Awesome new feature!"`
664
4. Push to the branch: `git push origin awesome_new_feature_branch`
665 22 zero_gravi
5. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
666
 
667 9 zero_gravi
Please also check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md).
668 2 zero_gravi
 
669
 
670 9 zero_gravi
 
671 11 zero_gravi
## Legal
672 2 zero_gravi
 
673 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
674 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
675
 
676
#### Citation
677
 
678 26 zero_gravi
If you are using the NEORV32 Processor/CPU in some kind of publication, please cite it as follows:
679 2 zero_gravi
 
680 26 zero_gravi
> S. Nolting, "The NEORV32 Processor/CPU", github.com/stnolting/neorv32
681 2 zero_gravi
 
682 9 zero_gravi
#### BSD 3-Clause License
683 2 zero_gravi
 
684
Copyright (c) 2020, Stephan Nolting. All rights reserved.
685
 
686
Redistribution and use in source and binary forms, with or without modification, are
687
permitted provided that the following conditions are met:
688
 
689
1. Redistributions of source code must retain the above copyright notice, this list of
690
conditions and the following disclaimer.
691
2. Redistributions in binary form must reproduce the above copyright notice, this list of
692
conditions and the following disclaimer in the documentation and/or other materials
693
provided with the distribution.
694
3. Neither the name of the copyright holder nor the names of its contributors may be used to
695
endorse or promote products derived from this software without specific prior written
696
permission.
697
 
698
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
699
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
700
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
701
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
702
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
703
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
704
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
705
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
706
OF THE POSSIBILITY OF SUCH DAMAGE.
707
 
708
 
709 9 zero_gravi
#### Limitation of Liability for External Links
710
 
711
Our website contains links to the websites of third parties („external links“). As the
712
content of these websites is not under our control, we cannot assume any liability for
713
such external content. In all cases, the provider of information of the linked websites
714
is liable for the content and accuracy of the information provided. At the point in time
715
when the links were placed, no infringements of the law were recognisable to us. As soon
716
as an infringement of the law becomes known to us, we will immediately remove the
717
link in question.
718
 
719
 
720 11 zero_gravi
#### Proprietary  Notice
721 9 zero_gravi
 
722 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
723
 
724 11 zero_gravi
"Cyclone", "Quartus Prime", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
725 2 zero_gravi
 
726 11 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx, Inc.
727
 
728 2 zero_gravi
"iCE40", "UltraPlus" and "Lattice Radiant" are trademarks of Lattice Semiconductor Corporation.
729
 
730 13 zero_gravi
"AXI" and "AXI-Lite" are trademarks of Arm Holdings plc.
731 2 zero_gravi
 
732
 
733 22 zero_gravi
 
734 18 zero_gravi
## Acknowledgements
735 9 zero_gravi
 
736 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
737
 
738 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
739 11 zero_gravi
 
740 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
741
 
742
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
743
 
744
 
745
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
746
 
747
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
748
 
749 32 zero_gravi
--------
750 2 zero_gravi
 
751 32 zero_gravi
This repository was created on June 23th, 2020.
752 14 zero_gravi
 
753 6 zero_gravi
Made with :coffee: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.