OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 35

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32) (RISC-V)
2 2 zero_gravi
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7 32 zero_gravi
* [Overview](#Overview)
8
* [Project Status](#Status)
9 2 zero_gravi
* [Features](#Features)
10
* [FPGA Implementation Results](#FPGA-Implementation-Results)
11
* [Performance](#Performance)
12 30 zero_gravi
* [Top Entities](#Top-Entities)
13 2 zero_gravi
* [**Getting Started**](#Getting-Started)
14 9 zero_gravi
* [Contribute](#Contribute)
15 2 zero_gravi
* [Legal](#Legal)
16
 
17
 
18
 
19 32 zero_gravi
## Overview
20 2 zero_gravi
 
21 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
22 22 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The project consists of two main parts:
23 2 zero_gravi
 
24 11 zero_gravi
 
25 27 zero_gravi
### [NEORV32 CPU](#CPU-Features)
26 2 zero_gravi
 
27 34 zero_gravi
The CPU implements a `rv32i RISC-V` core with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and
28 22 zero_gravi
`PMP` (physical memory protection) extensions. It passes the official [RISC-V compliance tests](https://github.com/stnolting/neorv32_riscv_compliance)
29
and is compliant to the *Unprivileged ISA Specification [Version 2.2](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)*
30
and a subset of the *Privileged Architecture Specification [Version 1.12-draft](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)*.
31 2 zero_gravi
 
32 22 zero_gravi
If you do not want to use the NEORV32 Processor setup, you can also use the CPU in
33
stand-alone mode and build your own SoC around it.
34
 
35
 
36 27 zero_gravi
### [NEORV32 Processor](#Processor-Features)
37 22 zero_gravi
 
38 32 zero_gravi
Based on the NEORV32 CPU, the NEORV32 Processor is a full-scale RISC-V microcontroller system (**SoC**)
39 22 zero_gravi
that already provides common peripherals like GPIO, serial interfaces, timers, embedded
40
memories and an external bus interface for connectivity and custom extension.
41
All optional features and modules beyond the base CPU can be enabled and configured via
42
[VHDL generics](#Top-Entities).
43
 
44
The processor is intended as ready-to-use auxiliary processor within a larger SoC
45
designs or as stand-alone custom microcontroller. Its top entity can be directly
46
synthesized for any target technology without modifications.
47
 
48
This project comes with a complete software ecosystem that features core
49
libraries for high-level usage of the provided functions and peripherals,
50
makefiles, a runtime environment, several example programs to start with - including a free RTOS demo - and
51
even a builtin bootloader for easy program upload via UART.
52
 
53
 
54 30 zero_gravi
### [How to get started?](#Getting-Started)
55 22 zero_gravi
 
56
The processor is intended to work "out of the box". Just synthesize the
57
[test setup](#Create-a-new-Hardware-Project), upload it to your FPGA board of choice and start playing
58 34 zero_gravi
with the NEORV32. For more information take a look at the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
59 2 zero_gravi
 
60 34 zero_gravi
The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
61
To see the changes between releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
62 2 zero_gravi
 
63 20 zero_gravi
 
64 32 zero_gravi
### Key Features
65 2 zero_gravi
 
66 32 zero_gravi
* RISC-V-compliant `rv32i` CPU with optional `C`, `E`, `M`, `U`, `Zicsr`, `Zifencei` and `PMP` (physical memory protection) extensions
67
* GCC-based toolchain ([pre-compiled rv32i and rv32e toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
68
* Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
69
* [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
70 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
71 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
72
* Fully synchronous design, no latches, no gated clocks
73
* Small hardware footprint and high operating frequency
74
* Highly configurable CPU and processor setup
75 35 zero_gravi
* [AXI4-Lite connectivity](#AXI4-Connectivity) - compatible with Xilinx Vivado IP Packer
76 32 zero_gravi
* [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
77 15 zero_gravi
 
78 22 zero_gravi
 
79 2 zero_gravi
### Design Principles
80
 
81 32 zero_gravi
 * From zero to `main()`: Completely open source and documented.
82 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
83
 * Easy to use – working out of the box.
84
 * Clean synchronous design, no wacky combinatorial interfaces.
85 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
86 2 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
87
 
88
 
89 32 zero_gravi
## Status
90 3 zero_gravi
 
91 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
92 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
93 2 zero_gravi
 
94 31 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
95 2 zero_gravi
 
96 11 zero_gravi
| Project component                                                               | CI status | Note     |
97
|:--------------------------------------------------------------------------------|:----------|:---------|
98 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
99
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
100
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
101 6 zero_gravi
 
102
 
103 35 zero_gravi
### To-Do / Wish List / [Help Wanted](#Contribute)
104 7 zero_gravi
 
105 35 zero_gravi
* Add a cache for the external memory interface
106
* Use LaTeX for data sheet
107 34 zero_gravi
* Further size and performance optimization
108 32 zero_gravi
* Synthesis results (+ wrappers?) for more platforms
109
* Maybe port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
110
* Implement further CPU extensions:
111
  * Atomic operations (`A`)
112
  * Bitmanipulation operations (`B`), when they are "official"
113
  * Floating-point instructions (`F`)
114
  * ...
115 7 zero_gravi
 
116
 
117 2 zero_gravi
## Features
118
 
119 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
120 31 zero_gravi
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
121
 
122 35 zero_gravi
### NEORV32 Processor (SoC)
123 2 zero_gravi
 
124 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
125
 
126 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
127 26 zero_gravi
is highly customizable via the processor's top generics.
128 2 zero_gravi
 
129 32 zero_gravi
* Optional processor-internal data and instruction memories (**DMEM** / **IMEM**)
130
* Optional internal **Bootloader** with UART console and automatic SPI flash boot option
131
* Optional machine system timer (**MTIME**), RISC-V-compliant
132
* Optional universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
133
* Optional 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
134 35 zero_gravi
* Optional two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
135 32 zero_gravi
* Optional general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
136
* Optional 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
137 35 zero_gravi
* Optional wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity)), compatibility verified with Xilinx Vivado Block Desginer
138 32 zero_gravi
* Optional watchdog timer (**WDT**)
139
* Optional PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
140
* Optional GARO-based true random number generator (**TRNG**)
141 34 zero_gravi
* Optional custom functions units (**CFU0** and **CFU1**) for tightly-coupled custom co-processors
142 32 zero_gravi
* System configuration information memory to check hardware configuration by software (**SYSINFO**)
143 23 zero_gravi
 
144 35 zero_gravi
### NEORV32 CPU
145 2 zero_gravi
 
146 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_cpu.png)
147
 
148
The CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
149 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
150
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
151 2 zero_gravi
 
152 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
153 31 zero_gravi
the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
154 11 zero_gravi
 
155
 
156
**General**:
157 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
158 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
159 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
160 23 zero_gravi
  * Little-endian byte order
161
  * All reserved or unimplemented instructions will raise an illegal instruction exception
162 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
163 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
164 11 zero_gravi
 
165
 
166 3 zero_gravi
**RV32I base instruction set** (`I` extension):
167 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
168 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
169 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
170 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
171 2 zero_gravi
 
172 3 zero_gravi
**Compressed instructions** (`C` extension):
173 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
174 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
175 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
176 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
177 2 zero_gravi
 
178 3 zero_gravi
**Embedded CPU version** (`E` extension):
179 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
180
 
181 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
182 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
183
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
184 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
185
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
186 2 zero_gravi
 
187 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
188 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
189
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
190 8 zero_gravi
  * System instructions: `MRET` `WFI`
191 27 zero_gravi
  * Counter CSRs: `cycle` `cycleh` `instret` `instreth` `time` `timeh` `mcycle` `mcycleh` `minstret` `minstreth`
192 30 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
193 2 zero_gravi
  * Supported exceptions and interrupts:
194
    * Misaligned instruction address
195
    * Instruction access fault
196
    * Illegal instruction
197 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
198 2 zero_gravi
    * Load address misaligned
199
    * Load access fault
200 4 zero_gravi
    * Store address misaligned
201 2 zero_gravi
    * Store access fault
202 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
203 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
204
    * Machine software interrupt `msi` (via external signal)
205
    * Machine external interrupt `mei` (via external signal)
206
    * Four fast interrupt requests (custom extension)
207 2 zero_gravi
 
208 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
209 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
210 15 zero_gravi
 
211 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
212 17 zero_gravi
  * System instructions: `FENCE.I`
213 8 zero_gravi
 
214 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
215 23 zero_gravi
  * Additional machine CSRs: `pmpcfg0` `pmpcfg1` `pmpaddr0` `pmpaddr1` `pmpaddr2` `pmpaddr3` `pmpaddr4` `pmpaddr5` `pmpaddr6` `pmpaddr7`
216 2 zero_gravi
 
217 15 zero_gravi
 
218 23 zero_gravi
### Non-RISC-V-Compliant Issues
219
 
220 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
221 23 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
222
 
223
 
224
### NEORV32-Specific CPU Extensions
225
 
226
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
227
 
228
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
229
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
230
 
231
 
232
 
233 2 zero_gravi
## FPGA Implementation Results
234
 
235 23 zero_gravi
### NEORV32 CPU
236
 
237
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
238 2 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
239 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
240 26 zero_gravi
of the CPU's generics is assumed (for example no PMP). No constraints were used at all.
241 2 zero_gravi
 
242 34 zero_gravi
Results generated for hardware version `1.4.4.8`.
243 2 zero_gravi
 
244 31 zero_gravi
| CPU Configuration                      | LEs        | FFs      | Memory bits | DSPs | f_max    |
245
|:---------------------------------------|:----------:|:--------:|:-----------:|:----:|:--------:|
246
| `rv32i`                                |        983 |      438 |       2048  |    0 | ~120 MHz |
247
| `rv32i`   + `u` + `Zicsr` + `Zifencei` |       1877 |      802 |       2048  |    0 | ~112 MHz |
248
| `rv32im`  + `u` + `Zicsr` + `Zifencei` |       2374 |     1048 |       2048  |    0 | ~110 MHz |
249
| `rv32imc` + `u` + `Zicsr` + `Zifencei` |       2650 |     1064 |       2048  |    0 | ~110 MHz |
250
| `rv32emc` + `u` + `Zicsr` + `Zifencei` |       2680 |     1061 |       1024  |    0 | ~110 MHz |
251 2 zero_gravi
 
252
 
253 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
254
 
255 34 zero_gravi
Results generated for hardware version `1.4.4.8`.
256 11 zero_gravi
 
257 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
258 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
259
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   4 |   1 |      32 768 |    0 |
260
| BUSSWITCH | Mux for CPU I & D interfaces                         |  62 |   8 |           0 |    0 |
261 34 zero_gravi
| CFU0      | Custom functions unit 0                              |   - |   - |           - |    - |
262
| CFU1      | Custom functions unit 1                              |   - |   - |           - |    - |
263 26 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |  13 |   2 |      65 536 |    0 |
264 31 zero_gravi
| GPIO      | General purpose input/output ports                   |  66 |  65 |           0 |    0 |
265
| IMEM      | Processor-internal instruction memory (default 16kb) |   7 |   2 |     131 072 |    0 |
266
| MTIME     | Machine system timer                                 | 268 | 166 |           0 |    0 |
267 25 zero_gravi
| PWM       | Pulse-width modulation controller                    |  72 |  69 |           0 |    0 |
268 31 zero_gravi
| SPI       | Serial peripheral interface                          | 184 | 125 |           0 |    0 |
269
| SYSINFO   | System configuration information memory              |  11 |   9 |           0 |    0 |
270
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
271
| TWI       | Two-wire interface                                   |  74 |  44 |           0 |    0 |
272 26 zero_gravi
| UART      | Universal asynchronous receiver/transmitter          | 175 | 132 |           0 |    0 |
273 31 zero_gravi
| WDT       | Watchdog timer                                       |  58 |  45 |           0 |    0 |
274 35 zero_gravi
| WISHBONE  | External memory interface                            | 106 | 104 |           0 |    0 |
275 2 zero_gravi
 
276
 
277 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
278 6 zero_gravi
 
279 34 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFUs_ and no _TRNG_),
280 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
281 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
282 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
283 6 zero_gravi
 
284 34 zero_gravi
Results generated for hardware version `1.4.4.8`.
285 6 zero_gravi
 
286 26 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | Strategy | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
287
|:--------|:----------------------------------|:-----------------|:---------------------------|:-------- |:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
288 31 zero_gravi
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 19.1    | balanced | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 4008 (18%) | 1849  (9%) | 0 (0%) | 231424 (38%) |          - |        - |       105 MHz |
289
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | default  | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4296 (81%) | 1611 (30%) | 0 (0%) |            - |   12 (40%) | 4 (100%) |  *c* 22.5 MHz |
290
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | default  | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2390 (11%) | 1888  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
291 2 zero_gravi
 
292 23 zero_gravi
**_Notes_**
293 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
294 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
295
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
296 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
297
bootloader to store and automatically boot an application program after reset (both tested successfully).
298 22 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 32kB.
299 2 zero_gravi
 
300 22 zero_gravi
 
301
 
302 2 zero_gravi
## Performance
303
 
304
### CoreMark Benchmark
305
 
306
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
307
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
308
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
309
 
310 34 zero_gravi
Results generated for hardware version `1.4.5.4`.
311 2 zero_gravi
 
312
~~~
313
**Configuration**
314 12 zero_gravi
Hardware:    32kB IMEM, 16kB DMEM, 100MHz clock
315
CoreMark:    2000 iterations, MEM_METHOD is MEM_STACK
316 32 zero_gravi
Compiler:    RISCV32-GCC 10.1.0 (rv32i toolchain)
317
Flags:       default, see makefile
318 12 zero_gravi
Peripherals: UART for printing the results
319 2 zero_gravi
~~~
320
 
321 34 zero_gravi
| CPU                                         | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
322
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
323
| `rv32i`                                     |    26 940 bytes |        `-O3` |          33.89 |    **0.3389** |
324
| `rv32im`                                    |    25 772 bytes |        `-O3` |          64.51 |    **0.6451** |
325
| `rv32imc`                                   |    20 524 bytes |        `-O3` |          64.51 |    **0.6451** |
326
| `rv32imc` + `FAST_MUL_EN`                   |    20 524 bytes |        `-O3` |          80.00 |    **0.8000** |
327
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    20 524 bytes |        `-O3` |          83.33 |    **0.8333** |
328 2 zero_gravi
 
329 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
330
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
331 2 zero_gravi
 
332 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
333 22 zero_gravi
 
334 34 zero_gravi
 
335 2 zero_gravi
### Instruction Cycles
336
 
337 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
338 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
339
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
340 2 zero_gravi
CPU extensions.
341
 
342 34 zero_gravi
Please note that by default the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
343 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
344
 
345 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
346 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
347 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
348 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
349 2 zero_gravi
 
350 34 zero_gravi
Results generated for hardware version `1.4.5.4`.
351 2 zero_gravi
 
352 34 zero_gravi
| CPU                                         | Required Clock Cycles | Executed Instructions | Average CPI |
353
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
354
| `rv32i`                                     |         5 945 938 586 |         1 469 587 406 |    **4.05** |
355
| `rv32im`                                    |         3 110 282 586 |           602 225 760 |    **5.16** |
356
| `rv32imc`                                   |         3 172 969 968 |           615 388 890 |    **5.16** |
357
| `rv32imc` + `FAST_MUL_EN`                   |         2 590 417 968 |           615 388 890 |    **4.21** |
358
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 456 318 408 |           615 388 890 |    **3.99** |
359 2 zero_gravi
 
360
 
361 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
362
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
363
 
364 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
365 12 zero_gravi
 
366 22 zero_gravi
 
367 31 zero_gravi
 
368 14 zero_gravi
## Top Entities
369 2 zero_gravi
 
370 34 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [**neorv32_top.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd)
371
and the top entity of the **NEORV32 CPU** is [**neorv32_cpu.vhd**](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd). Both
372
top entities are located in `rtl/core`.
373 2 zero_gravi
 
374 34 zero_gravi
All signals of the top entities are of type *std_ulogic* or *std_ulogic_vector*, respectively
375
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected (`open`) and tie all unused
376
input ports to zero (`'0'` or `(others => '0')`, respectively).
377 14 zero_gravi
 
378 30 zero_gravi
Alternative top entities, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
379
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
380 14 zero_gravi
 
381 34 zero_gravi
Use the top's generics to configure the processor/CPU according to your needs. Each generic is initilized with the default configuration.
382
Detailed information regarding the interface signals and configuration generics can be found in
383
the [NEORV32 documentary](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
384 22 zero_gravi
 
385 26 zero_gravi
### NEORV32 CPU
386 23 zero_gravi
 
387
```vhdl
388
entity neorv32_cpu is
389
  generic (
390
    -- General --
391
    HW_THREAD_ID                 : std_ulogic_vector(31 downto 0):= (others => '0'); -- hardware thread id
392
    CPU_BOOT_ADDR                : std_ulogic_vector(31 downto 0):= (others => '0'); -- cpu boot address
393
    -- RISC-V CPU Extensions --
394
    CPU_EXTENSION_RISCV_C        : boolean := false; -- implement compressed extension?
395
    CPU_EXTENSION_RISCV_E        : boolean := false; -- implement embedded RF extension?
396
    CPU_EXTENSION_RISCV_M        : boolean := false; -- implement muld/div extension?
397
    CPU_EXTENSION_RISCV_U        : boolean := false; -- implement user mode extension?
398
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;  -- implement CSR system?
399
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;  -- implement instruction stream sync.?
400
    -- Extension Options --
401
    FAST_MUL_EN                  : boolean := false; -- use DSPs for M extension's multiplier
402 34 zero_gravi
    FAST_SHIFT_EN                : boolean := false; -- use barrel shifter for shift operations
403 23 zero_gravi
    -- Physical Memory Protection (PMP) --
404
    PMP_USE                      : boolean := false; -- implement PMP?
405
    PMP_NUM_REGIONS              : natural := 4;     -- number of regions (max 8)
406 30 zero_gravi
    PMP_GRANULARITY              : natural := 14     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64k
407 23 zero_gravi
  );
408
  port (
409
    -- global control --
410
    clk_i          : in  std_ulogic := '0'; -- global clock, rising edge
411
    rstn_i         : in  std_ulogic := '0'; -- global reset, low-active, async
412
    -- instruction bus interface --
413
    i_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
414
    i_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
415
    i_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
416
    i_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
417
    i_bus_we_o     : out std_ulogic; -- write enable
418
    i_bus_re_o     : out std_ulogic; -- read enable
419
    i_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
420
    i_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
421
    i_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
422
    i_bus_fence_o  : out std_ulogic; -- executed FENCEI operation
423 35 zero_gravi
    i_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
424 23 zero_gravi
    -- data bus interface --
425
    d_bus_addr_o   : out std_ulogic_vector(data_width_c-1 downto 0); -- bus access address
426
    d_bus_rdata_i  : in  std_ulogic_vector(data_width_c-1 downto 0) := (others => '0'); -- bus read data
427
    d_bus_wdata_o  : out std_ulogic_vector(data_width_c-1 downto 0); -- bus write data
428
    d_bus_ben_o    : out std_ulogic_vector(03 downto 0); -- byte enable
429
    d_bus_we_o     : out std_ulogic; -- write enable
430
    d_bus_re_o     : out std_ulogic; -- read enable
431
    d_bus_cancel_o : out std_ulogic; -- cancel current bus transaction
432
    d_bus_ack_i    : in  std_ulogic := '0'; -- bus transfer acknowledge
433
    d_bus_err_i    : in  std_ulogic := '0'; -- bus transfer error
434
    d_bus_fence_o  : out std_ulogic; -- executed FENCE operation
435 35 zero_gravi
    d_bus_priv_o   : out std_ulogic_vector(1 downto 0); -- privilege level
436 23 zero_gravi
    -- system time input from MTIME --
437
    time_i         : in  std_ulogic_vector(63 downto 0) := (others => '0'); -- current system time
438
    -- interrupts (risc-v compliant) --
439
    msw_irq_i      : in  std_ulogic := '0'; -- machine software interrupt
440
    mext_irq_i     : in  std_ulogic := '0'; -- machine external interrupt
441
    mtime_irq_i    : in  std_ulogic := '0'; -- machine timer interrupt
442
    -- fast interrupts (custom) --
443
    firq_i         : in  std_ulogic_vector(3 downto 0) := (others => '0')
444
  );
445
end neorv32_cpu;
446
```
447
 
448 26 zero_gravi
### NEORV32 Processor
449 14 zero_gravi
 
450 2 zero_gravi
```vhdl
451
entity neorv32_top is
452
  generic (
453
    -- General --
454 12 zero_gravi
    CLOCK_FREQUENCY              : natural := 0;      -- clock frequency of clk_i in Hz
455 8 zero_gravi
    BOOTLOADER_USE               : boolean := true;   -- implement processor-internal bootloader?
456 12 zero_gravi
    USER_CODE                    : std_ulogic_vector(31 downto 0) := x"00000000"; -- custom user code
457 2 zero_gravi
    -- RISC-V CPU Extensions --
458 14 zero_gravi
    CPU_EXTENSION_RISCV_C        : boolean := false;  -- implement compressed extension?
459 8 zero_gravi
    CPU_EXTENSION_RISCV_E        : boolean := false;  -- implement embedded RF extension?
460 14 zero_gravi
    CPU_EXTENSION_RISCV_M        : boolean := false;  -- implement muld/div extension?
461 15 zero_gravi
    CPU_EXTENSION_RISCV_U        : boolean := false;  -- implement user mode extension?
462 8 zero_gravi
    CPU_EXTENSION_RISCV_Zicsr    : boolean := true;   -- implement CSR system?
463
    CPU_EXTENSION_RISCV_Zifencei : boolean := true;   -- implement instruction stream sync.?
464 19 zero_gravi
    -- Extension Options --
465 34 zero_gravi
    FAST_MUL_EN                  : boolean := false;  -- use DSPs for M extension's multiplier
466
    FAST_SHIFT_EN                : boolean := false;  -- use barrel shifter for shift operations
467 15 zero_gravi
    -- Physical Memory Protection (PMP) --
468 34 zero_gravi
    PMP_USE                      : boolean := false;  -- implement PMP?
469
    PMP_NUM_REGIONS              : natural := 4;      -- number of regions (max 8)
470
    PMP_GRANULARITY              : natural := 14;     -- minimal region granularity (1=8B, 2=16B, 3=32B, ...) default is 64kB
471 23 zero_gravi
    -- Internal Instruction memory --
472 14 zero_gravi
    MEM_INT_IMEM_USE             : boolean := true;   -- implement processor-internal instruction memory
473 8 zero_gravi
    MEM_INT_IMEM_SIZE            : natural := 16*1024; -- size of processor-internal instruction memory in bytes
474 14 zero_gravi
    MEM_INT_IMEM_ROM             : boolean := false;  -- implement processor-internal instruction memory as ROM
475 23 zero_gravi
    -- Internal Data memory --
476 8 zero_gravi
    MEM_INT_DMEM_USE             : boolean := true;   -- implement processor-internal data memory
477
    MEM_INT_DMEM_SIZE            : natural := 8*1024; -- size of processor-internal data memory in bytes
478 23 zero_gravi
    -- External memory interface --
479 8 zero_gravi
    MEM_EXT_USE                  : boolean := false;  -- implement external memory bus interface?
480 2 zero_gravi
    -- Processor peripherals --
481 8 zero_gravi
    IO_GPIO_USE                  : boolean := true;   -- implement general purpose input/output port unit (GPIO)?
482
    IO_MTIME_USE                 : boolean := true;   -- implement machine system timer (MTIME)?
483
    IO_UART_USE                  : boolean := true;   -- implement universal asynchronous receiver/transmitter (UART)?
484
    IO_SPI_USE                   : boolean := true;   -- implement serial peripheral interface (SPI)?
485
    IO_TWI_USE                   : boolean := true;   -- implement two-wire interface (TWI)?
486
    IO_PWM_USE                   : boolean := true;   -- implement pulse-width modulation unit (PWM)?
487
    IO_WDT_USE                   : boolean := true;   -- implement watch dog timer (WDT)?
488
    IO_TRNG_USE                  : boolean := false;  -- implement true random number generator (TRNG)?
489 34 zero_gravi
    IO_CFU0_USE                  : boolean := false;  -- implement custom functions unit 0 (CFU0)?
490
    IO_CFU1_USE                  : boolean := false   -- implement custom functions unit 1 (CFU1)?
491 2 zero_gravi
  );
492
  port (
493
    -- Global control --
494 34 zero_gravi
    clk_i       : in  std_ulogic := '0'; -- global clock, rising edge
495
    rstn_i      : in  std_ulogic := '0'; -- global reset, low-active, async
496 2 zero_gravi
    -- Wishbone bus interface (available if MEM_EXT_USE = true) --
497 34 zero_gravi
    wb_adr_o    : out std_ulogic_vector(31 downto 0); -- address
498
    wb_dat_i    : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- read data
499
    wb_dat_o    : out std_ulogic_vector(31 downto 0); -- write data
500
    wb_we_o     : out std_ulogic; -- read/write
501
    wb_sel_o    : out std_ulogic_vector(03 downto 0); -- byte enable
502
    wb_stb_o    : out std_ulogic; -- strobe
503
    wb_cyc_o    : out std_ulogic; -- valid cycle
504
    wb_ack_i    : in  std_ulogic := '0'; -- transfer acknowledge
505
    wb_err_i    : in  std_ulogic := '0'; -- transfer error
506 12 zero_gravi
    -- Advanced memory control signals (available if MEM_EXT_USE = true) --
507 35 zero_gravi
    priv_o      : out std_ulogic_vector(1 downto 0); -- current CPU privilege level
508 34 zero_gravi
    fence_o     : out std_ulogic; -- indicates an executed FENCE operation
509
    fencei_o    : out std_ulogic; -- indicates an executed FENCEI operation
510 2 zero_gravi
    -- GPIO (available if IO_GPIO_USE = true) --
511 34 zero_gravi
    gpio_o      : out std_ulogic_vector(31 downto 0); -- parallel output
512
    gpio_i      : in  std_ulogic_vector(31 downto 0) := (others => '0'); -- parallel input
513 2 zero_gravi
    -- UART (available if IO_UART_USE = true) --
514 34 zero_gravi
    uart_txd_o  : out std_ulogic; -- UART send data
515
    uart_rxd_i  : in  std_ulogic := '0'; -- UART receive data
516 2 zero_gravi
    -- SPI (available if IO_SPI_USE = true) --
517 34 zero_gravi
    spi_sck_o   : out std_ulogic; -- SPI serial clock
518
    spi_sdo_o   : out std_ulogic; -- controller data out, peripheral data in
519
    spi_sdi_i   : in  std_ulogic := '0'; -- controller data in, peripheral data out
520
    spi_csn_o   : out std_ulogic_vector(07 downto 0); -- SPI CS
521 2 zero_gravi
    -- TWI (available if IO_TWI_USE = true) --
522 35 zero_gravi
    twi_sda_io  : inout std_logic; -- twi serial data line
523
    twi_scl_io  : inout std_logic; -- twi serial clock line
524 2 zero_gravi
    -- PWM (available if IO_PWM_USE = true) --
525 34 zero_gravi
    pwm_o       : out std_ulogic_vector(03 downto 0); -- pwm channels
526 14 zero_gravi
    -- Interrupts --
527 34 zero_gravi
    mtime_irq_i : in  std_ulogic := '0'; -- machine timer interrupt, available if IO_MTIME_USE = false
528
    msw_irq_i   : in  std_ulogic := '0'; -- machine software interrupt
529
    mext_irq_i  : in  std_ulogic := '0'  -- machine external interrupt
530 2 zero_gravi
  );
531
end neorv32_top;
532
```
533
 
534 35 zero_gravi
### AXI4 Connectivity
535 22 zero_gravi
 
536 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
537
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
538
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
539 2 zero_gravi
 
540 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
541
 
542
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
543
 
544
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
545
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
546
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
547
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
548
 
549
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
550
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
551
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
552
 
553
 
554
 
555 2 zero_gravi
## Getting Started
556
 
557
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
558
 
559 31 zero_gravi
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
560 2 zero_gravi
 
561
 
562 14 zero_gravi
### Toolchain
563 2 zero_gravi
 
564
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
565
and build the toolchain by yourself, or you can download a prebuilt one and install it.
566
 
567 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
568
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
569 2 zero_gravi
 
570 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
571 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
572 2 zero_gravi
 
573 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
574
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
575 2 zero_gravi
 
576
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
577
 
578
 
579 22 zero_gravi
### Dowload the NEORV32 Project
580 2 zero_gravi
 
581 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
582 12 zero_gravi
 
583 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
584
 
585 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
586
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
587 2 zero_gravi
 
588 22 zero_gravi
 
589
### Create a new Hardware Project
590
 
591 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
592
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
593
 
594 11 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) in your own project or you
595
can use a simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) (from the project's
596
[`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) folder) as top entity.
597 2 zero_gravi
 
598 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
599 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
600 23 zero_gravi
 
601 2 zero_gravi
```vhdl
602 9 zero_gravi
  entity neorv32_test_setup is
603
    port (
604
      -- Global control --
605
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
606
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
607
      -- GPIO --
608
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
609
      -- UART --
610
      uart_txd_o : out std_ulogic; -- UART send data
611
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
612
    );
613
  end neorv32_test_setup;
614 2 zero_gravi
```
615
 
616
 
617 23 zero_gravi
### Check the Toolchain
618 2 zero_gravi
 
619 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
620 2 zero_gravi
`sw/example/blink_led` and run:
621
 
622
    neorv32/sw/example/blink_led$ make check
623
 
624 23 zero_gravi
 
625
### Compiling an Example Program
626
 
627 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
628
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
629 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
630 2 zero_gravi
 
631 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
632 2 zero_gravi
 
633 23 zero_gravi
 
634
### Upload the Executable via the Bootloader
635
 
636 34 zero_gravi
You can upload a generated executable directly from the command line using the makefile's `upload` target. Replace `/dev/ttyUSB0` with
637
the according serial port.
638
 
639
    sw/exeample/blink_example$ make COM_PORT=/dev/ttyUSB0` upload
640
 
641
A more "secure" way is to use a dedicated terminal program. This allows to directly interact with the bootloader console.
642 23 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
643 2 zero_gravi
uses the following default UART configuration:
644
 
645 32 zero_gravi
* 19200 Baud
646
* 8 data bits
647
* 1 stop bit
648
* No parity bits
649
* No transmission / flow control protocol (raw bytes only)
650
* Newline on `\r\n` (carriage return & newline) - also for sent data
651 2 zero_gravi
 
652 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
653 2 zero_gravi
 
654 9 zero_gravi
```
655
  << NEORV32 Bootloader >>
656
 
657
  BLDV: Jul  6 2020
658
  HWV:  1.0.1.0
659
  CLK:  0x0134FD90 Hz
660 13 zero_gravi
  USER: 0x0001CE40
661 9 zero_gravi
  MISA: 0x42801104
662 27 zero_gravi
  PROC: 0x03FF0035
663 9 zero_gravi
  IMEM: 0x00010000 bytes @ 0x00000000
664
  DMEM: 0x00010000 bytes @ 0x80000000
665
 
666
  Autoboot in 8s. Press key to abort.
667
  Aborted.
668
 
669
  Available CMDs:
670
   h: Help
671
   r: Restart
672
   u: Upload
673
   s: Store to flash
674
   l: Load from flash
675
   e: Execute
676
  CMD:> u
677
  Awaiting neorv32_exe.bin... OK
678
  CMD:> e
679
  Booting...
680
 
681
  Blinking LED demo program
682
```
683 2 zero_gravi
 
684 31 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
685 2 zero_gravi
 
686
 
687
 
688 9 zero_gravi
## Contribute
689 2 zero_gravi
 
690 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
691 23 zero_gravi
to [open a new issue](https://github.com/stnolting/neorv32/issues) or directly [drop me a line](mailto:stnolting@gmail.com).
692 2 zero_gravi
 
693 22 zero_gravi
If you'd like to contribute:
694
 
695 23 zero_gravi
1. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
696
2. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
697
3. Create a new remote for the upstream repo: `git remote add https://github.com/stnolting/neorv32`
698
3. Commit your modifications: `git commit -m "Awesome new feature!"`
699
4. Push to the branch: `git push origin awesome_new_feature_branch`
700 22 zero_gravi
5. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
701
 
702 9 zero_gravi
Please also check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md).
703 2 zero_gravi
 
704
 
705 9 zero_gravi
 
706 11 zero_gravi
## Legal
707 2 zero_gravi
 
708 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
709 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
710
 
711
#### Citation
712
 
713 34 zero_gravi
If you are using the NEORV32 or some parts of the project in some kind of publication, please cite it as follows:
714 2 zero_gravi
 
715 34 zero_gravi
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
716 2 zero_gravi
 
717 9 zero_gravi
#### BSD 3-Clause License
718 2 zero_gravi
 
719
Copyright (c) 2020, Stephan Nolting. All rights reserved.
720
 
721
Redistribution and use in source and binary forms, with or without modification, are
722
permitted provided that the following conditions are met:
723
 
724
1. Redistributions of source code must retain the above copyright notice, this list of
725
conditions and the following disclaimer.
726
2. Redistributions in binary form must reproduce the above copyright notice, this list of
727
conditions and the following disclaimer in the documentation and/or other materials
728
provided with the distribution.
729
3. Neither the name of the copyright holder nor the names of its contributors may be used to
730
endorse or promote products derived from this software without specific prior written
731
permission.
732
 
733
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
734
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
735
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
736
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
737
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
738
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
739
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
740
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
741
OF THE POSSIBILITY OF SUCH DAMAGE.
742
 
743
 
744 9 zero_gravi
#### Limitation of Liability for External Links
745
 
746
Our website contains links to the websites of third parties („external links“). As the
747
content of these websites is not under our control, we cannot assume any liability for
748
such external content. In all cases, the provider of information of the linked websites
749
is liable for the content and accuracy of the information provided. At the point in time
750
when the links were placed, no infringements of the law were recognisable to us. As soon
751
as an infringement of the law becomes known to us, we will immediately remove the
752
link in question.
753
 
754
 
755 11 zero_gravi
#### Proprietary  Notice
756 9 zero_gravi
 
757 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
758
 
759 35 zero_gravi
"Cyclone", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
760 2 zero_gravi
 
761 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
762 11 zero_gravi
 
763 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
764 2 zero_gravi
 
765
 
766
 
767 18 zero_gravi
## Acknowledgements
768 9 zero_gravi
 
769 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
770
 
771 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
772 11 zero_gravi
 
773 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
774
 
775
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
776
 
777
 
778
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
779
 
780
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
781
 
782 32 zero_gravi
--------
783 2 zero_gravi
 
784 32 zero_gravi
This repository was created on June 23th, 2020.
785 14 zero_gravi
 
786 6 zero_gravi
Made with :coffee: in Hannover, Germany.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.