OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 20 zero_gravi
# [The NEORV32 Processor](https://github.com/stnolting/neorv32) (RISC-V)
2 2 zero_gravi
 
3
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
4
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
5
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
6
 
7 32 zero_gravi
* [Overview](#Overview)
8
* [Project Status](#Status)
9 2 zero_gravi
* [Features](#Features)
10
* [FPGA Implementation Results](#FPGA-Implementation-Results)
11
* [Performance](#Performance)
12 30 zero_gravi
* [Top Entities](#Top-Entities)
13 2 zero_gravi
* [**Getting Started**](#Getting-Started)
14 9 zero_gravi
* [Contribute](#Contribute)
15 2 zero_gravi
* [Legal](#Legal)
16
 
17
 
18
 
19 32 zero_gravi
## Overview
20 2 zero_gravi
 
21 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
22 36 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The processor is intended as *ready-to-go* auxiliary processor within a larger SoC
23
designs or as stand-alone custom microcontroller. Its top entity can be directly synthesized for *any* target technology without modifications.
24 2 zero_gravi
 
25 11 zero_gravi
 
26 32 zero_gravi
### Key Features
27 2 zero_gravi
 
28 36 zero_gravi
* RISC-V-[compliant](#Status) 32-bit `rv32i` [**NEORV32 CPU**](#NEORV32-CPU-Features)
29
  * Compliant to *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
30
  * Compliant to *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
31
* Optional CPU extensions
32
  * `C` - compressed instructions (16-bit)
33
  * `E` - embedded CPU (reduced register file)
34
  * `M` - integer multiplication and division hardware
35
  * `U` - less-privileged *user mode*
36
  * `Zicsr` - control and status register access instructions (+ exception/irq system)
37
  * `Zifencei` - instruction stream synchronization
38
  * `PMP` - physical memory protection
39
* Software framework
40
  * Core libraries for high-level usage of the provided functions and peripherals
41
  * Application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
42
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
43
  * runtime environment
44
  * several example programs
45
  * [Doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation of the software framework: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
46
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
47 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
48 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
49
* Fully synchronous design, no latches, no gated clocks
50
* Small hardware footprint and high operating frequency
51 36 zero_gravi
* Full-scale RISC-V microcontroller system (**SoC**): [**NEORV32 Processor**](#NEORV32-Processor-Features)
52
  * Optional embedded memories, timers, serial interfaces, external interfaces (Wishbone or [AXI4-Lite](#AXI4-Connectivity)) ...
53 15 zero_gravi
 
54 36 zero_gravi
The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
55
To see the changes between releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
56
For more information take a look at the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
57 22 zero_gravi
 
58 36 zero_gravi
 
59 2 zero_gravi
### Design Principles
60
 
61 32 zero_gravi
 * From zero to `main()`: Completely open source and documented.
62 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
63
 * Easy to use – working out of the box.
64
 * Clean synchronous design, no wacky combinatorial interfaces.
65 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
66 2 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
67
 
68
 
69 36 zero_gravi
### Status
70 3 zero_gravi
 
71 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
72 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
73 2 zero_gravi
 
74 31 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
75 2 zero_gravi
 
76 11 zero_gravi
| Project component                                                               | CI status | Note     |
77
|:--------------------------------------------------------------------------------|:----------|:---------|
78 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
79
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
80
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
81 6 zero_gravi
 
82
 
83 35 zero_gravi
### To-Do / Wish List / [Help Wanted](#Contribute)
84 7 zero_gravi
 
85 35 zero_gravi
* Use LaTeX for data sheet
86 34 zero_gravi
* Further size and performance optimization
87 36 zero_gravi
* Add a cache for the external memory interface
88
* Synthesis results (+ wrappers?) for more/specific platforms
89 32 zero_gravi
* Maybe port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
90
* Implement further CPU extensions:
91 36 zero_gravi
  * Bitmanipulation operations (`B`) - when they are *official*
92 32 zero_gravi
  * Floating-point instructions (`F`)
93
  * ...
94 36 zero_gravi
* ...
95 7 zero_gravi
 
96
 
97 36 zero_gravi
 
98 2 zero_gravi
## Features
99
 
100 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
101 31 zero_gravi
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
102
 
103 36 zero_gravi
### NEORV32 Processor Features
104 2 zero_gravi
 
105 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
106
 
107 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
108 26 zero_gravi
is highly customizable via the processor's top generics.
109 2 zero_gravi
 
110 32 zero_gravi
* Optional processor-internal data and instruction memories (**DMEM** / **IMEM**)
111 36 zero_gravi
* Optional internal **Bootloader** with UART console and automatic application boot from SPI flash option
112 32 zero_gravi
* Optional machine system timer (**MTIME**), RISC-V-compliant
113
* Optional universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
114
* Optional 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
115 35 zero_gravi
* Optional two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
116 32 zero_gravi
* Optional general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
117
* Optional 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
118 35 zero_gravi
* Optional wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity)), compatibility verified with Xilinx Vivado Block Desginer
119 32 zero_gravi
* Optional watchdog timer (**WDT**)
120
* Optional PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
121
* Optional GARO-based true random number generator (**TRNG**)
122 34 zero_gravi
* Optional custom functions units (**CFU0** and **CFU1**) for tightly-coupled custom co-processors
123 32 zero_gravi
* System configuration information memory to check hardware configuration by software (**SYSINFO**)
124 23 zero_gravi
 
125 36 zero_gravi
### NEORV32 CPU Features
126 2 zero_gravi
 
127 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_cpu.png)
128
 
129
The CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
130 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
131
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
132 2 zero_gravi
 
133 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
134 31 zero_gravi
the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
135 11 zero_gravi
 
136
 
137
**General**:
138 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
139 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
140 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
141 23 zero_gravi
  * Little-endian byte order
142
  * All reserved or unimplemented instructions will raise an illegal instruction exception
143 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
144 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
145 11 zero_gravi
 
146
 
147 3 zero_gravi
**RV32I base instruction set** (`I` extension):
148 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
149 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
150 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
151 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
152 2 zero_gravi
 
153 3 zero_gravi
**Compressed instructions** (`C` extension):
154 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
155 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
156 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
157 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
158 2 zero_gravi
 
159 3 zero_gravi
**Embedded CPU version** (`E` extension):
160 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
161
 
162 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
163 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
164
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
165 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
166
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
167 2 zero_gravi
 
168 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
169 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
170
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
171 8 zero_gravi
  * System instructions: `MRET` `WFI`
172 27 zero_gravi
  * Counter CSRs: `cycle` `cycleh` `instret` `instreth` `time` `timeh` `mcycle` `mcycleh` `minstret` `minstreth`
173 30 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
174 2 zero_gravi
  * Supported exceptions and interrupts:
175
    * Misaligned instruction address
176
    * Instruction access fault
177
    * Illegal instruction
178 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
179 2 zero_gravi
    * Load address misaligned
180
    * Load access fault
181 4 zero_gravi
    * Store address misaligned
182 2 zero_gravi
    * Store access fault
183 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
184 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
185
    * Machine software interrupt `msi` (via external signal)
186
    * Machine external interrupt `mei` (via external signal)
187
    * Four fast interrupt requests (custom extension)
188 2 zero_gravi
 
189 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
190 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
191 15 zero_gravi
 
192 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
193 17 zero_gravi
  * System instructions: `FENCE.I`
194 8 zero_gravi
 
195 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
196 23 zero_gravi
  * Additional machine CSRs: `pmpcfg0` `pmpcfg1` `pmpaddr0` `pmpaddr1` `pmpaddr2` `pmpaddr3` `pmpaddr4` `pmpaddr5` `pmpaddr6` `pmpaddr7`
197 2 zero_gravi
 
198 15 zero_gravi
 
199 23 zero_gravi
### Non-RISC-V-Compliant Issues
200
 
201 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
202 23 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
203
 
204
 
205
### NEORV32-Specific CPU Extensions
206
 
207
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
208
 
209
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
210
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
211
 
212
 
213
 
214 2 zero_gravi
## FPGA Implementation Results
215
 
216 23 zero_gravi
### NEORV32 CPU
217
 
218
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
219 2 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 19.1** ("balanced implementation"). The timing
220 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
221 26 zero_gravi
of the CPU's generics is assumed (for example no PMP). No constraints were used at all.
222 2 zero_gravi
 
223 34 zero_gravi
Results generated for hardware version `1.4.4.8`.
224 2 zero_gravi
 
225 31 zero_gravi
| CPU Configuration                      | LEs        | FFs      | Memory bits | DSPs | f_max    |
226
|:---------------------------------------|:----------:|:--------:|:-----------:|:----:|:--------:|
227
| `rv32i`                                |        983 |      438 |       2048  |    0 | ~120 MHz |
228
| `rv32i`   + `u` + `Zicsr` + `Zifencei` |       1877 |      802 |       2048  |    0 | ~112 MHz |
229
| `rv32im`  + `u` + `Zicsr` + `Zifencei` |       2374 |     1048 |       2048  |    0 | ~110 MHz |
230
| `rv32imc` + `u` + `Zicsr` + `Zifencei` |       2650 |     1064 |       2048  |    0 | ~110 MHz |
231
| `rv32emc` + `u` + `Zicsr` + `Zifencei` |       2680 |     1061 |       1024  |    0 | ~110 MHz |
232 2 zero_gravi
 
233
 
234 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
235
 
236 34 zero_gravi
Results generated for hardware version `1.4.4.8`.
237 11 zero_gravi
 
238 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
239 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
240
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   4 |   1 |      32 768 |    0 |
241
| BUSSWITCH | Mux for CPU I & D interfaces                         |  62 |   8 |           0 |    0 |
242 34 zero_gravi
| CFU0      | Custom functions unit 0                              |   - |   - |           - |    - |
243
| CFU1      | Custom functions unit 1                              |   - |   - |           - |    - |
244 26 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |  13 |   2 |      65 536 |    0 |
245 31 zero_gravi
| GPIO      | General purpose input/output ports                   |  66 |  65 |           0 |    0 |
246
| IMEM      | Processor-internal instruction memory (default 16kb) |   7 |   2 |     131 072 |    0 |
247
| MTIME     | Machine system timer                                 | 268 | 166 |           0 |    0 |
248 25 zero_gravi
| PWM       | Pulse-width modulation controller                    |  72 |  69 |           0 |    0 |
249 31 zero_gravi
| SPI       | Serial peripheral interface                          | 184 | 125 |           0 |    0 |
250
| SYSINFO   | System configuration information memory              |  11 |   9 |           0 |    0 |
251
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
252
| TWI       | Two-wire interface                                   |  74 |  44 |           0 |    0 |
253 26 zero_gravi
| UART      | Universal asynchronous receiver/transmitter          | 175 | 132 |           0 |    0 |
254 31 zero_gravi
| WDT       | Watchdog timer                                       |  58 |  45 |           0 |    0 |
255 35 zero_gravi
| WISHBONE  | External memory interface                            | 106 | 104 |           0 |    0 |
256 2 zero_gravi
 
257
 
258 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
259 6 zero_gravi
 
260 34 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFUs_ and no _TRNG_),
261 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
262 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
263 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
264 6 zero_gravi
 
265 34 zero_gravi
Results generated for hardware version `1.4.4.8`.
266 6 zero_gravi
 
267 26 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | Strategy | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
268
|:--------|:----------------------------------|:-----------------|:---------------------------|:-------- |:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
269 31 zero_gravi
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 19.1    | balanced | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 4008 (18%) | 1849  (9%) | 0 (0%) | 231424 (38%) |          - |        - |       105 MHz |
270
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | default  | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4296 (81%) | 1611 (30%) | 0 (0%) |            - |   12 (40%) | 4 (100%) |  *c* 22.5 MHz |
271
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | default  | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2390 (11%) | 1888  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
272 2 zero_gravi
 
273 23 zero_gravi
**_Notes_**
274 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
275 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
276
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
277 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
278
bootloader to store and automatically boot an application program after reset (both tested successfully).
279 22 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 32kB.
280 2 zero_gravi
 
281 22 zero_gravi
 
282
 
283 2 zero_gravi
## Performance
284
 
285
### CoreMark Benchmark
286
 
287
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
288
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
289
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
290
 
291 34 zero_gravi
Results generated for hardware version `1.4.5.4`.
292 2 zero_gravi
 
293
~~~
294
**Configuration**
295 12 zero_gravi
Hardware:    32kB IMEM, 16kB DMEM, 100MHz clock
296
CoreMark:    2000 iterations, MEM_METHOD is MEM_STACK
297 32 zero_gravi
Compiler:    RISCV32-GCC 10.1.0 (rv32i toolchain)
298
Flags:       default, see makefile
299 12 zero_gravi
Peripherals: UART for printing the results
300 2 zero_gravi
~~~
301
 
302 34 zero_gravi
| CPU                                         | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
303
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
304
| `rv32i`                                     |    26 940 bytes |        `-O3` |          33.89 |    **0.3389** |
305
| `rv32im`                                    |    25 772 bytes |        `-O3` |          64.51 |    **0.6451** |
306
| `rv32imc`                                   |    20 524 bytes |        `-O3` |          64.51 |    **0.6451** |
307
| `rv32imc` + `FAST_MUL_EN`                   |    20 524 bytes |        `-O3` |          80.00 |    **0.8000** |
308
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    20 524 bytes |        `-O3` |          83.33 |    **0.8333** |
309 2 zero_gravi
 
310 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
311
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
312 2 zero_gravi
 
313 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
314 22 zero_gravi
 
315 34 zero_gravi
 
316 2 zero_gravi
### Instruction Cycles
317
 
318 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
319 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
320
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
321 2 zero_gravi
CPU extensions.
322
 
323 34 zero_gravi
Please note that by default the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
324 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
325
 
326 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
327 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
328 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
329 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
330 2 zero_gravi
 
331 34 zero_gravi
Results generated for hardware version `1.4.5.4`.
332 2 zero_gravi
 
333 34 zero_gravi
| CPU                                         | Required Clock Cycles | Executed Instructions | Average CPI |
334
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
335
| `rv32i`                                     |         5 945 938 586 |         1 469 587 406 |    **4.05** |
336
| `rv32im`                                    |         3 110 282 586 |           602 225 760 |    **5.16** |
337
| `rv32imc`                                   |         3 172 969 968 |           615 388 890 |    **5.16** |
338
| `rv32imc` + `FAST_MUL_EN`                   |         2 590 417 968 |           615 388 890 |    **4.21** |
339
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 456 318 408 |           615 388 890 |    **3.99** |
340 2 zero_gravi
 
341
 
342 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
343
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
344
 
345 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
346 12 zero_gravi
 
347 22 zero_gravi
 
348 31 zero_gravi
 
349 14 zero_gravi
## Top Entities
350 2 zero_gravi
 
351 36 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd).
352 2 zero_gravi
 
353 36 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
354 34 zero_gravi
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected (`open`) and tie all unused
355
input ports to zero (`'0'` or `(others => '0')`, respectively).
356 14 zero_gravi
 
357 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
358 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
359 36 zero_gravi
the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
360 22 zero_gravi
 
361 23 zero_gravi
 
362 36 zero_gravi
### Using the CPU in Stand-Alone Mode
363 23 zero_gravi
 
364 36 zero_gravi
If you do not want to use the NEORV32 processor setup, you can also use the CPU in stand-alone mode and build your own system around it.
365
The top entity of the stand-alone **NEORV32 CPU** is [`rtl/core/neorv32_cpu.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd).
366
Note that the CPU uses a proprietary interface for accessing data and instruction memory. More information can be found in the
367
[NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
368 14 zero_gravi
 
369 36 zero_gravi
:warning: It is recommended to use the processor setup even if you only want to use the CPU. Simply disable all the processor-internal modules via the generics
370
and you will get a "CPU wrapper" that provides a minimal CPU environment and an external memory interface (like AXI4). This setup also allows to further use the default
371
bootloader and application makefiles. From this base you can start building your own processor system.
372 2 zero_gravi
 
373 36 zero_gravi
 
374
### Alternative Top Entities
375
 
376
*Alternative top entities*, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
377
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
378
 
379
 
380 35 zero_gravi
### AXI4 Connectivity
381 22 zero_gravi
 
382 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
383
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
384
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
385 2 zero_gravi
 
386 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
387
 
388
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
389
 
390
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
391
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
392
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
393
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
394
 
395
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
396
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
397
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
398
 
399
 
400
 
401 2 zero_gravi
## Getting Started
402
 
403
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
404
 
405 31 zero_gravi
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
406 2 zero_gravi
 
407
 
408 14 zero_gravi
### Toolchain
409 2 zero_gravi
 
410
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
411
and build the toolchain by yourself, or you can download a prebuilt one and install it.
412
 
413 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
414
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
415 2 zero_gravi
 
416 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
417 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
418 2 zero_gravi
 
419 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
420
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
421 2 zero_gravi
 
422
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
423
 
424
 
425 22 zero_gravi
### Dowload the NEORV32 Project
426 2 zero_gravi
 
427 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
428 12 zero_gravi
 
429 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
430
 
431 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
432
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
433 2 zero_gravi
 
434 22 zero_gravi
 
435
### Create a new Hardware Project
436
 
437 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
438
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
439
 
440 36 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) or one of its
441
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try out the processor,
442
you can use the simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
443 2 zero_gravi
 
444 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
445 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
446 23 zero_gravi
 
447 2 zero_gravi
```vhdl
448 9 zero_gravi
  entity neorv32_test_setup is
449
    port (
450
      -- Global control --
451
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
452
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
453
      -- GPIO --
454
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
455
      -- UART --
456
      uart_txd_o : out std_ulogic; -- UART send data
457
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
458
    );
459
  end neorv32_test_setup;
460 2 zero_gravi
```
461
 
462
 
463 23 zero_gravi
### Check the Toolchain
464 2 zero_gravi
 
465 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
466 2 zero_gravi
`sw/example/blink_led` and run:
467
 
468
    neorv32/sw/example/blink_led$ make check
469
 
470 23 zero_gravi
 
471
### Compiling an Example Program
472
 
473 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
474
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
475 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
476 2 zero_gravi
 
477 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
478 2 zero_gravi
 
479 23 zero_gravi
 
480
### Upload the Executable via the Bootloader
481
 
482 34 zero_gravi
You can upload a generated executable directly from the command line using the makefile's `upload` target. Replace `/dev/ttyUSB0` with
483
the according serial port.
484
 
485
    sw/exeample/blink_example$ make COM_PORT=/dev/ttyUSB0` upload
486
 
487
A more "secure" way is to use a dedicated terminal program. This allows to directly interact with the bootloader console.
488 23 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
489 2 zero_gravi
uses the following default UART configuration:
490
 
491 32 zero_gravi
* 19200 Baud
492
* 8 data bits
493
* 1 stop bit
494
* No parity bits
495
* No transmission / flow control protocol (raw bytes only)
496
* Newline on `\r\n` (carriage return & newline) - also for sent data
497 2 zero_gravi
 
498 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
499 2 zero_gravi
 
500 9 zero_gravi
```
501
  << NEORV32 Bootloader >>
502
 
503
  BLDV: Jul  6 2020
504
  HWV:  1.0.1.0
505
  CLK:  0x0134FD90 Hz
506 13 zero_gravi
  USER: 0x0001CE40
507 9 zero_gravi
  MISA: 0x42801104
508 27 zero_gravi
  PROC: 0x03FF0035
509 9 zero_gravi
  IMEM: 0x00010000 bytes @ 0x00000000
510
  DMEM: 0x00010000 bytes @ 0x80000000
511
 
512
  Autoboot in 8s. Press key to abort.
513
  Aborted.
514
 
515
  Available CMDs:
516
   h: Help
517
   r: Restart
518
   u: Upload
519
   s: Store to flash
520
   l: Load from flash
521
   e: Execute
522
  CMD:> u
523
  Awaiting neorv32_exe.bin... OK
524
  CMD:> e
525
  Booting...
526
 
527
  Blinking LED demo program
528
```
529 2 zero_gravi
 
530 31 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
531 2 zero_gravi
 
532
 
533
 
534 9 zero_gravi
## Contribute
535 2 zero_gravi
 
536 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
537 36 zero_gravi
to [open a new issue](https://github.com/stnolting/neorv32/issues) or directly [drop me a line](mailto:stnolting@gmail.com). If you'd like to contribute:
538 2 zero_gravi
 
539 36 zero_gravi
0. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
540 23 zero_gravi
1. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
541
2. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
542
3. Create a new remote for the upstream repo: `git remote add https://github.com/stnolting/neorv32`
543
3. Commit your modifications: `git commit -m "Awesome new feature!"`
544
4. Push to the branch: `git push origin awesome_new_feature_branch`
545 22 zero_gravi
5. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
546
 
547 2 zero_gravi
 
548 11 zero_gravi
## Legal
549 2 zero_gravi
 
550 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
551 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
552
 
553
#### Citation
554
 
555 34 zero_gravi
If you are using the NEORV32 or some parts of the project in some kind of publication, please cite it as follows:
556 2 zero_gravi
 
557 34 zero_gravi
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
558 2 zero_gravi
 
559 9 zero_gravi
#### BSD 3-Clause License
560 2 zero_gravi
 
561
Copyright (c) 2020, Stephan Nolting. All rights reserved.
562
 
563
Redistribution and use in source and binary forms, with or without modification, are
564
permitted provided that the following conditions are met:
565
 
566
1. Redistributions of source code must retain the above copyright notice, this list of
567
conditions and the following disclaimer.
568
2. Redistributions in binary form must reproduce the above copyright notice, this list of
569
conditions and the following disclaimer in the documentation and/or other materials
570
provided with the distribution.
571
3. Neither the name of the copyright holder nor the names of its contributors may be used to
572
endorse or promote products derived from this software without specific prior written
573
permission.
574
 
575
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
576
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
577
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
578
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
579
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
580
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
581
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
582
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
583
OF THE POSSIBILITY OF SUCH DAMAGE.
584
 
585
 
586 9 zero_gravi
#### Limitation of Liability for External Links
587
 
588 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
589 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
590
such external content. In all cases, the provider of information of the linked websites
591
is liable for the content and accuracy of the information provided. At the point in time
592
when the links were placed, no infringements of the law were recognisable to us. As soon
593
as an infringement of the law becomes known to us, we will immediately remove the
594
link in question.
595
 
596
 
597 11 zero_gravi
#### Proprietary  Notice
598 9 zero_gravi
 
599 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
600
 
601 35 zero_gravi
"Cyclone", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
602 2 zero_gravi
 
603 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
604 11 zero_gravi
 
605 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
606 2 zero_gravi
 
607
 
608
 
609 18 zero_gravi
## Acknowledgements
610 9 zero_gravi
 
611 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
612
 
613 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
614 11 zero_gravi
 
615 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
616
 
617
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
618
 
619
 
620
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
621
 
622
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
623
 
624 32 zero_gravi
--------
625 2 zero_gravi
 
626 32 zero_gravi
This repository was created on June 23th, 2020.
627 14 zero_gravi
 
628 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.