OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 37 zero_gravi
[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo_white_bg.png)](https://github.com/stnolting/neorv32)
2 2 zero_gravi
 
3 37 zero_gravi
# The NEORV32 RISC-V Processor
4
 
5 2 zero_gravi
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
6
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
7
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
8
 
9 32 zero_gravi
* [Overview](#Overview)
10
* [Project Status](#Status)
11 2 zero_gravi
* [Features](#Features)
12
* [FPGA Implementation Results](#FPGA-Implementation-Results)
13
* [Performance](#Performance)
14 30 zero_gravi
* [Top Entities](#Top-Entities)
15 2 zero_gravi
* [**Getting Started**](#Getting-Started)
16 9 zero_gravi
* [Contribute](#Contribute)
17 2 zero_gravi
* [Legal](#Legal)
18
 
19
 
20
 
21 32 zero_gravi
## Overview
22 2 zero_gravi
 
23 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
24 36 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The processor is intended as *ready-to-go* auxiliary processor within a larger SoC
25 37 zero_gravi
designs or as stand-alone custom microcontroller.
26 2 zero_gravi
 
27 11 zero_gravi
 
28 32 zero_gravi
### Key Features
29 2 zero_gravi
 
30 37 zero_gravi
* RISC-V-[compliant](#Status) 32-bit `rv32i` [**NEORV32 CPU**](#NEORV32-CPU-Features), compliant to
31
  * Subset of the *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
32
  * Subset of the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
33 39 zero_gravi
* Optional RISC-V CPU extensions
34
  * `A` - atomic memory access instructions
35 36 zero_gravi
  * `C` - compressed instructions (16-bit)
36
  * `E` - embedded CPU (reduced register file)
37
  * `M` - integer multiplication and division hardware
38
  * `U` - less-privileged *user mode*
39
  * `Zicsr` - control and status register access instructions (+ exception/irq system)
40
  * `Zifencei` - instruction stream synchronization
41
  * `PMP` - physical memory protection
42 39 zero_gravi
* Full-scale RISC-V microcontroller system / **SoC** [**NEORV32 Processor**](#NEORV32-Processor-Features) with optional submodules
43
  * optional embedded memories (instructions/data/bootloader, RAM/ROM)
44 37 zero_gravi
  * timers (watch dog, RISC-V-compliant machine timer)
45 39 zero_gravi
  * serial interfaces (SPI, TWI, UART) and general purpose IO
46 37 zero_gravi
  * external bus interface (Wishbone / [AXI4](#AXI4-Connectivity))
47
  * [more ...](#NEORV32-Processor-Features)
48 36 zero_gravi
* Software framework
49 37 zero_gravi
  * core libraries for high-level usage of the provided functions and peripherals
50
  * application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
51 36 zero_gravi
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
52
  * runtime environment
53
  * several example programs
54 37 zero_gravi
  * [doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
55 36 zero_gravi
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
56 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
57 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
58
* Fully synchronous design, no latches, no gated clocks
59
* Small hardware footprint and high operating frequency
60 15 zero_gravi
 
61 36 zero_gravi
The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
62
To see the changes between releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
63
For more information take a look at the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
64 22 zero_gravi
 
65 36 zero_gravi
 
66 2 zero_gravi
### Design Principles
67
 
68 39 zero_gravi
 * From zero to *hello_world*: Completely open source and documented.
69 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
70
 * Easy to use – working out of the box.
71
 * Clean synchronous design, no wacky combinatorial interfaces.
72 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
73 2 zero_gravi
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k FPGA running at 20+ MHz.
74
 
75
 
76 36 zero_gravi
### Status
77 3 zero_gravi
 
78 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
79 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
80 2 zero_gravi
 
81 31 zero_gravi
The processor passes the official `rv32i`, `rv32im`, `rv32imc`, `rv32Zicsr` and `rv32Zifencei` [RISC-V compliance tests](https://github.com/riscv/riscv-compliance).
82 2 zero_gravi
 
83 11 zero_gravi
| Project component                                                               | CI status | Note     |
84
|:--------------------------------------------------------------------------------|:----------|:---------|
85 14 zero_gravi
| [NEORV32 processor](https://github.com/stnolting/neorv32)                       | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
86
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)          | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
87
| [RISC-V compliance test](https://github.com/stnolting/neorv32_riscv_compliance) | [![Build Status](https://travis-ci.com/stnolting/neorv32_riscv_compliance.svg?branch=master)](https://travis-ci.com/stnolting/neorv32_riscv_compliance) | |
88 6 zero_gravi
 
89
 
90 39 zero_gravi
### To-Do / Wish List / Help Wanted
91 7 zero_gravi
 
92 35 zero_gravi
* Use LaTeX for data sheet
93 39 zero_gravi
* Further size and performance optimization *(work in progress)*
94
* A cache for the external memory/bus interface *(work in progress)*
95
* Burst mode for the external memory/bus interface
96
* RISC-V `B` extension ([bitmanipulation](https://github.com/riscv/riscv-bitmanip))
97
* Synthesis results (+ wrappers?) for more/specific platforms
98 37 zero_gravi
* More support for FreeRTOS
99 32 zero_gravi
* Maybe port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
100 39 zero_gravi
* Implement further RISC-V (or custom?) CPU extensions (like floating-point extension `F`)
101 36 zero_gravi
* ...
102 39 zero_gravi
* [Ideas?](#Contribute)
103 7 zero_gravi
 
104
 
105 36 zero_gravi
 
106 2 zero_gravi
## Features
107
 
108 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
109 31 zero_gravi
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
110
 
111 36 zero_gravi
### NEORV32 Processor Features
112 2 zero_gravi
 
113 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
114
 
115 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
116 37 zero_gravi
is highly customizable via the processor's top generics and already provides the following *optional* modules:
117 2 zero_gravi
 
118 37 zero_gravi
* processor-internal data and instruction memories (**DMEM** / **IMEM**)
119
* internal **Bootloader** with UART console and automatic application boot from SPI flash option
120
* machine system timer (**MTIME**), RISC-V-compliant
121
* watchdog timer (**WDT**)
122
* universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
123
* 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
124
* two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
125
* general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
126
* 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
127
* wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity))
128
* PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
129
* GARO-based true random number generator (**TRNG**)
130
* custom functions units (**CFU0** and **CFU1**) for tightly-coupled custom co-processors
131
* system configuration information memory to check hardware configuration by software (**SYSINFO**, mandatory - not *optional*)
132 23 zero_gravi
 
133 36 zero_gravi
### NEORV32 CPU Features
134 2 zero_gravi
 
135 39 zero_gravi
The NEORV32 CPU is [compliant](https://github.com/stnolting/neorv32_riscv_compliance) to the
136 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
137
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf).
138 2 zero_gravi
 
139 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
140 31 zero_gravi
the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
141 11 zero_gravi
 
142
 
143
**General**:
144 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
145 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
146 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
147 23 zero_gravi
  * Little-endian byte order
148
  * All reserved or unimplemented instructions will raise an illegal instruction exception
149 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
150 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
151 11 zero_gravi
 
152
 
153 3 zero_gravi
**RV32I base instruction set** (`I` extension):
154 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
155 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
156 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
157 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
158 2 zero_gravi
 
159 3 zero_gravi
**Compressed instructions** (`C` extension):
160 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
161 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
162 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
163 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
164 2 zero_gravi
 
165 3 zero_gravi
**Embedded CPU version** (`E` extension):
166 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
167
 
168 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
169 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
170
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
171 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
172
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
173 2 zero_gravi
 
174 39 zero_gravi
**Atomic memory access** (`A` extension):
175
  * Supported instruction: `LR.W` `SC.W`
176
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
177
 
178 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
179 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
180
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
181 8 zero_gravi
  * System instructions: `MRET` `WFI`
182 27 zero_gravi
  * Counter CSRs: `cycle` `cycleh` `instret` `instreth` `time` `timeh` `mcycle` `mcycleh` `minstret` `minstreth`
183 30 zero_gravi
  * Machine CSRs: `mstatus` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
184 2 zero_gravi
  * Supported exceptions and interrupts:
185
    * Misaligned instruction address
186 38 zero_gravi
    * Instruction access fault (via unacknowledged bus access after timeout)
187 2 zero_gravi
    * Illegal instruction
188 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
189 2 zero_gravi
    * Load address misaligned
190 38 zero_gravi
    * Load access fault (via unacknowledged bus access after timeout)
191 4 zero_gravi
    * Store address misaligned
192 38 zero_gravi
    * Store access fault (via unacknowledged bus access after timeout)
193 4 zero_gravi
    * Environment call from M-mode (via `ecall` instruction)
194 15 zero_gravi
    * Machine timer interrupt `mti` (via processor's MTIME unit)
195
    * Machine software interrupt `msi` (via external signal)
196
    * Machine external interrupt `mei` (via external signal)
197
    * Four fast interrupt requests (custom extension)
198 2 zero_gravi
 
199 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
200 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
201 15 zero_gravi
 
202 8 zero_gravi
**Privileged architecture / FENCE.I** (`Zifencei` extension):
203 17 zero_gravi
  * System instructions: `FENCE.I`
204 8 zero_gravi
 
205 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
206 23 zero_gravi
  * Additional machine CSRs: `pmpcfg0` `pmpcfg1` `pmpaddr0` `pmpaddr1` `pmpaddr2` `pmpaddr3` `pmpaddr4` `pmpaddr5` `pmpaddr6` `pmpaddr7`
207 2 zero_gravi
 
208 15 zero_gravi
 
209 23 zero_gravi
### Non-RISC-V-Compliant Issues
210
 
211 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
212 23 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
213 39 zero_gravi
* The `A` extension only implements `lr.w` and `sc.w` instructions yet. However, these instructions are sufficient to emulate all further AMO operations
214 23 zero_gravi
 
215
 
216
### NEORV32-Specific CPU Extensions
217
 
218
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
219
 
220
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
221
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
222
 
223
 
224
 
225 2 zero_gravi
## FPGA Implementation Results
226
 
227 23 zero_gravi
### NEORV32 CPU
228
 
229
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
230 37 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 20.1** ("balanced implementation"). The timing
231 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
232 26 zero_gravi
of the CPU's generics is assumed (for example no PMP). No constraints were used at all.
233 2 zero_gravi
 
234 39 zero_gravi
Results generated for hardware version `1.4.8.0`.
235 2 zero_gravi
 
236 39 zero_gravi
| CPU Configuration                       | LEs        | FFs      | Memory bits | DSPs | f_max    |
237
|:----------------------------------------|:----------:|:--------:|:-----------:|:----:|:--------:|
238
| `rv32i`                                 |        945 |      417 |       2048  |    0 | ~122 MHz |
239
| `rv32i`    + `u` + `Zicsr` + `Zifencei` |       1944 |      901 |       2048  |    0 | ~119 MHz |
240
| `rv32im`   + `u` + `Zicsr` + `Zifencei` |       2551 |     1147 |       2048  |    0 | ~117 MHz |
241
| `rv32imc`  + `u` + `Zicsr` + `Zifencei` |       2800 |     1162 |       2048  |    0 | ~113 MHz |
242
| `rv32imac` + `u` + `Zicsr` + `Zifencei` |       1796 |     1165 |       2048  |    0 | ~113 MHz |
243 2 zero_gravi
 
244 39 zero_gravi
Setups with enabled "embedded CPU extension" `E` show the same LUT and FF utilization and identical f_max. However, the size of the register file is cut in half.
245 2 zero_gravi
 
246 39 zero_gravi
 
247 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
248
 
249 39 zero_gravi
Results generated for hardware version `1.4.8.0`.
250 11 zero_gravi
 
251 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
252 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
253 37 zero_gravi
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |    0 |
254 39 zero_gravi
| BUSSWITCH | Mux for CPU I & D interfaces                         |  82 |   8 |           0 |    0 |
255 34 zero_gravi
| CFU0      | Custom functions unit 0                              |   - |   - |           - |    - |
256
| CFU1      | Custom functions unit 1                              |   - |   - |           - |    - |
257 39 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |   6 |   2 |      65 536 |    0 |
258 31 zero_gravi
| GPIO      | General purpose input/output ports                   |  66 |  65 |           0 |    0 |
259 39 zero_gravi
| IMEM      | Processor-internal instruction memory (default 16kb) |   6 |   2 |     131 072 |    0 |
260
| MTIME     | Machine system timer                                 | 282 | 166 |           0 |    0 |
261
| PWM       | Pulse-width modulation controller                    |  71 |  69 |           0 |    0 |
262
| SPI       | Serial peripheral interface                          | 129 | 124 |           0 |    0 |
263
| SYSINFO   | System configuration information memory              |   9 |   9 |           0 |    0 |
264 31 zero_gravi
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
265 37 zero_gravi
| TWI       | Two-wire interface                                   |  77 |  44 |           0 |    0 |
266 39 zero_gravi
| UART      | Universal asynchronous receiver/transmitter          | 175 | 132 |           0 |    0 |
267
| WDT       | Watchdog timer                                       |  59 |  45 |           0 |    0 |
268
| WISHBONE  | External memory interface                            | 129 | 104 |           0 |    0 |
269 2 zero_gravi
 
270
 
271 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
272 6 zero_gravi
 
273 34 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFUs_ and no _TRNG_),
274 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
275 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
276 12 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals.
277 6 zero_gravi
 
278 37 zero_gravi
Results generated for hardware version `1.4.7.0`.
279 6 zero_gravi
 
280 26 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | Strategy | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
281
|:--------|:----------------------------------|:-----------------|:---------------------------|:-------- |:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
282 37 zero_gravi
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 20.1    | balanced | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 3892 (17%) | 1859  (8%) | 0 (0%) | 231424 (38%) |          - |        - |       113 MHz |
283
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | default  | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4331 (82%) | 1673 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) |  *c* 22.5 MHz |
284
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | default  | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2416 (12%) | 1900  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
285 2 zero_gravi
 
286 23 zero_gravi
**_Notes_**
287 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
288 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
289
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
290 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
291
bootloader to store and automatically boot an application program after reset (both tested successfully).
292 22 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 32kB.
293 2 zero_gravi
 
294 22 zero_gravi
 
295
 
296 2 zero_gravi
## Performance
297
 
298
### CoreMark Benchmark
299
 
300
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
301
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
302
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
303
 
304 37 zero_gravi
Results generated for hardware version `1.4.7.0`.
305 2 zero_gravi
 
306
~~~
307
**Configuration**
308 38 zero_gravi
Hardware:       32kB IMEM, 16kB DMEM, 100MHz clock
309
CoreMark:       2000 iterations, MEM_METHOD is MEM_STACK
310
Compiler:       RISCV32-GCC 10.1.0 (rv32i toolchain)
311
Compiler flags: default, see makefile
312
Peripherals:    UART for printing the results
313 2 zero_gravi
~~~
314
 
315 34 zero_gravi
| CPU                                         | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
316
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
317 37 zero_gravi
| `rv32i`                                     |    27 424 bytes |        `-O3` |          35.71 |    **0.3571** |
318
| `rv32im`                                    |    26 232 bytes |        `-O3` |          66.66 |    **0.6666** |
319
| `rv32imc`                                   |    20 876 bytes |        `-O3` |          66.66 |    **0.6666** |
320
| `rv32imc` + `FAST_MUL_EN`                   |    20 876 bytes |        `-O3` |          83.33 |    **0.8333** |
321
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    20 876 bytes |        `-O3` |          86.96 |    **0.8696** |
322 2 zero_gravi
 
323 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
324
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
325 2 zero_gravi
 
326 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
327 22 zero_gravi
 
328 34 zero_gravi
 
329 2 zero_gravi
### Instruction Cycles
330
 
331 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
332 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
333
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
334 2 zero_gravi
CPU extensions.
335
 
336 34 zero_gravi
Please note that by default the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
337 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
338
 
339 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
340 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
341 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
342 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
343 2 zero_gravi
 
344 37 zero_gravi
Results generated for hardware version `1.4.7.0`.
345 2 zero_gravi
 
346 34 zero_gravi
| CPU                                         | Required Clock Cycles | Executed Instructions | Average CPI |
347
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
348 37 zero_gravi
| `rv32i`                                     |         5 648 997 774 |         1 469 233 238 |    **3.84** |
349
| `rv32im`                                    |         3 036 749 774 |           601 871 338 |    **5.05** |
350
| `rv32imc`                                   |         3 036 959 882 |           615 034 616 |    **4.94** |
351
| `rv32imc` + `FAST_MUL_EN`                   |         2 454 407 882 |           615 034 588 |    **3.99** |
352
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 320 308 322 |           615 034 676 |    **3.77** |
353 2 zero_gravi
 
354
 
355 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
356
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
357
 
358 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
359 12 zero_gravi
 
360 22 zero_gravi
 
361 31 zero_gravi
 
362 14 zero_gravi
## Top Entities
363 2 zero_gravi
 
364 36 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd).
365 2 zero_gravi
 
366 36 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
367 34 zero_gravi
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected (`open`) and tie all unused
368
input ports to zero (`'0'` or `(others => '0')`, respectively).
369 14 zero_gravi
 
370 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
371 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
372 36 zero_gravi
the [NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
373 22 zero_gravi
 
374 23 zero_gravi
 
375 36 zero_gravi
### Using the CPU in Stand-Alone Mode
376 23 zero_gravi
 
377 36 zero_gravi
If you do not want to use the NEORV32 processor setup, you can also use the CPU in stand-alone mode and build your own system around it.
378
The top entity of the stand-alone **NEORV32 CPU** is [`rtl/core/neorv32_cpu.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd).
379
Note that the CPU uses a proprietary interface for accessing data and instruction memory. More information can be found in the
380
[NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
381 14 zero_gravi
 
382 36 zero_gravi
:warning: It is recommended to use the processor setup even if you only want to use the CPU. Simply disable all the processor-internal modules via the generics
383
and you will get a "CPU wrapper" that provides a minimal CPU environment and an external memory interface (like AXI4). This setup also allows to further use the default
384
bootloader and application makefiles. From this base you can start building your own processor system.
385 2 zero_gravi
 
386 36 zero_gravi
 
387
### Alternative Top Entities
388
 
389
*Alternative top entities*, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
390
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
391
 
392
 
393 35 zero_gravi
### AXI4 Connectivity
394 22 zero_gravi
 
395 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
396
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
397
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
398 2 zero_gravi
 
399 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
400
 
401
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
402
 
403
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
404
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
405
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
406
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
407
 
408
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
409
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
410
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
411
 
412
 
413
 
414 2 zero_gravi
## Getting Started
415
 
416
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
417
 
418 31 zero_gravi
[![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
419 2 zero_gravi
 
420
 
421 14 zero_gravi
### Toolchain
422 2 zero_gravi
 
423
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
424
and build the toolchain by yourself, or you can download a prebuilt one and install it.
425
 
426 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
427
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
428 2 zero_gravi
 
429 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
430 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
431 2 zero_gravi
 
432 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
433
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
434 2 zero_gravi
 
435
[https://github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
436
 
437
 
438 22 zero_gravi
### Dowload the NEORV32 Project
439 2 zero_gravi
 
440 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
441 12 zero_gravi
 
442 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
443
 
444 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
445
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
446 2 zero_gravi
 
447 22 zero_gravi
 
448
### Create a new Hardware Project
449
 
450 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
451
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
452
 
453 36 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32#top-entity) or one of its
454
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try out the processor,
455
you can use the simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
456 2 zero_gravi
 
457 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
458 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
459 23 zero_gravi
 
460 2 zero_gravi
```vhdl
461 9 zero_gravi
  entity neorv32_test_setup is
462
    port (
463
      -- Global control --
464
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
465
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
466
      -- GPIO --
467
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
468
      -- UART --
469
      uart_txd_o : out std_ulogic; -- UART send data
470
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
471
    );
472
  end neorv32_test_setup;
473 2 zero_gravi
```
474
 
475
 
476 23 zero_gravi
### Check the Toolchain
477 2 zero_gravi
 
478 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
479 2 zero_gravi
`sw/example/blink_led` and run:
480
 
481
    neorv32/sw/example/blink_led$ make check
482
 
483 23 zero_gravi
 
484
### Compiling an Example Program
485
 
486 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
487
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
488 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
489 2 zero_gravi
 
490 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
491 2 zero_gravi
 
492 23 zero_gravi
 
493
### Upload the Executable via the Bootloader
494
 
495 34 zero_gravi
You can upload a generated executable directly from the command line using the makefile's `upload` target. Replace `/dev/ttyUSB0` with
496
the according serial port.
497
 
498
    sw/exeample/blink_example$ make COM_PORT=/dev/ttyUSB0` upload
499
 
500
A more "secure" way is to use a dedicated terminal program. This allows to directly interact with the bootloader console.
501 23 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
502 2 zero_gravi
uses the following default UART configuration:
503
 
504 32 zero_gravi
* 19200 Baud
505
* 8 data bits
506
* 1 stop bit
507
* No parity bits
508
* No transmission / flow control protocol (raw bytes only)
509
* Newline on `\r\n` (carriage return & newline) - also for sent data
510 2 zero_gravi
 
511 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
512 2 zero_gravi
 
513 9 zero_gravi
```
514
  << NEORV32 Bootloader >>
515
 
516 37 zero_gravi
  BLDV: Nov  7 2020
517
  HWV:  0x01040606
518 9 zero_gravi
  CLK:  0x0134FD90 Hz
519 13 zero_gravi
  USER: 0x0001CE40
520 9 zero_gravi
  MISA: 0x42801104
521 27 zero_gravi
  PROC: 0x03FF0035
522 9 zero_gravi
  IMEM: 0x00010000 bytes @ 0x00000000
523
  DMEM: 0x00010000 bytes @ 0x80000000
524
 
525
  Autoboot in 8s. Press key to abort.
526
  Aborted.
527
 
528
  Available CMDs:
529
   h: Help
530
   r: Restart
531
   u: Upload
532
   s: Store to flash
533
   l: Load from flash
534
   e: Execute
535
  CMD:> u
536
  Awaiting neorv32_exe.bin... OK
537
  CMD:> e
538
  Booting...
539
 
540
  Blinking LED demo program
541
```
542 2 zero_gravi
 
543 31 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [![NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/PDF_32.png) NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
544 2 zero_gravi
 
545
 
546
 
547 9 zero_gravi
## Contribute
548 2 zero_gravi
 
549 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
550 36 zero_gravi
to [open a new issue](https://github.com/stnolting/neorv32/issues) or directly [drop me a line](mailto:stnolting@gmail.com). If you'd like to contribute:
551 2 zero_gravi
 
552 36 zero_gravi
0. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
553 23 zero_gravi
1. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
554
2. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
555 39 zero_gravi
3. Create a new remote for the upstream repo: `git remote add upstream https://github.com/stnolting/neorv32`
556 23 zero_gravi
3. Commit your modifications: `git commit -m "Awesome new feature!"`
557
4. Push to the branch: `git push origin awesome_new_feature_branch`
558 22 zero_gravi
5. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
559
 
560 2 zero_gravi
 
561 11 zero_gravi
## Legal
562 2 zero_gravi
 
563 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
564 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
565
 
566 37 zero_gravi
#### Citing
567 11 zero_gravi
 
568 34 zero_gravi
If you are using the NEORV32 or some parts of the project in some kind of publication, please cite it as follows:
569 2 zero_gravi
 
570 34 zero_gravi
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
571 2 zero_gravi
 
572 9 zero_gravi
#### BSD 3-Clause License
573 2 zero_gravi
 
574
Copyright (c) 2020, Stephan Nolting. All rights reserved.
575
 
576
Redistribution and use in source and binary forms, with or without modification, are
577
permitted provided that the following conditions are met:
578
 
579
1. Redistributions of source code must retain the above copyright notice, this list of
580
conditions and the following disclaimer.
581
2. Redistributions in binary form must reproduce the above copyright notice, this list of
582
conditions and the following disclaimer in the documentation and/or other materials
583
provided with the distribution.
584
3. Neither the name of the copyright holder nor the names of its contributors may be used to
585
endorse or promote products derived from this software without specific prior written
586
permission.
587
 
588
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
589
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
590
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
591
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
592
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
593
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
594
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
595
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
596
OF THE POSSIBILITY OF SUCH DAMAGE.
597
 
598
 
599 9 zero_gravi
#### Limitation of Liability for External Links
600
 
601 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
602 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
603
such external content. In all cases, the provider of information of the linked websites
604
is liable for the content and accuracy of the information provided. At the point in time
605
when the links were placed, no infringements of the law were recognisable to us. As soon
606
as an infringement of the law becomes known to us, we will immediately remove the
607
link in question.
608
 
609
 
610 11 zero_gravi
#### Proprietary  Notice
611 9 zero_gravi
 
612 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
613
 
614 35 zero_gravi
"Cyclone", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
615 2 zero_gravi
 
616 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
617 11 zero_gravi
 
618 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
619 2 zero_gravi
 
620
 
621
 
622 18 zero_gravi
## Acknowledgements
623 9 zero_gravi
 
624 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
625
 
626 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
627 11 zero_gravi
 
628 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
629
 
630
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
631
 
632
 
633
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
634
 
635
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
636
 
637 32 zero_gravi
--------
638 2 zero_gravi
 
639 37 zero_gravi
This repository was created on June 23rd, 2020.
640 14 zero_gravi
 
641 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.