OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 40

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 zero_gravi
[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo.png)](https://github.com/stnolting/neorv32)
2 2 zero_gravi
 
3 37 zero_gravi
# The NEORV32 RISC-V Processor
4
 
5 2 zero_gravi
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
6
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
7
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
8
 
9 32 zero_gravi
* [Overview](#Overview)
10
* [Project Status](#Status)
11 2 zero_gravi
* [Features](#Features)
12
* [FPGA Implementation Results](#FPGA-Implementation-Results)
13
* [Performance](#Performance)
14 30 zero_gravi
* [Top Entities](#Top-Entities)
15 2 zero_gravi
* [**Getting Started**](#Getting-Started)
16 40 zero_gravi
* [Contribute/Feedback/Questions](#ContributeFeedbackQuestions)
17 2 zero_gravi
* [Legal](#Legal)
18
 
19
 
20
 
21 32 zero_gravi
## Overview
22 2 zero_gravi
 
23 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
24 36 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The processor is intended as *ready-to-go* auxiliary processor within a larger SoC
25 37 zero_gravi
designs or as stand-alone custom microcontroller.
26 2 zero_gravi
 
27 40 zero_gravi
The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
28
To see the changes between releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
29
For more detailed information take a look at the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
30 11 zero_gravi
 
31 40 zero_gravi
 
32 32 zero_gravi
### Key Features
33 2 zero_gravi
 
34 37 zero_gravi
* RISC-V-[compliant](#Status) 32-bit `rv32i` [**NEORV32 CPU**](#NEORV32-CPU-Features), compliant to
35
  * Subset of the *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
36
  * Subset of the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
37 39 zero_gravi
* Optional RISC-V CPU extensions
38
  * `A` - atomic memory access instructions
39 36 zero_gravi
  * `C` - compressed instructions (16-bit)
40
  * `E` - embedded CPU (reduced register file)
41
  * `M` - integer multiplication and division hardware
42
  * `U` - less-privileged *user mode*
43
  * `Zicsr` - control and status register access instructions (+ exception/irq system)
44
  * `Zifencei` - instruction stream synchronization
45
  * `PMP` - physical memory protection
46 39 zero_gravi
* Full-scale RISC-V microcontroller system / **SoC** [**NEORV32 Processor**](#NEORV32-Processor-Features) with optional submodules
47
  * optional embedded memories (instructions/data/bootloader, RAM/ROM)
48 37 zero_gravi
  * timers (watch dog, RISC-V-compliant machine timer)
49 39 zero_gravi
  * serial interfaces (SPI, TWI, UART) and general purpose IO
50 37 zero_gravi
  * external bus interface (Wishbone / [AXI4](#AXI4-Connectivity))
51
  * [more ...](#NEORV32-Processor-Features)
52 36 zero_gravi
* Software framework
53 37 zero_gravi
  * core libraries for high-level usage of the provided functions and peripherals
54
  * application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
55 36 zero_gravi
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
56
  * runtime environment
57
  * several example programs
58 37 zero_gravi
  * [doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
59 36 zero_gravi
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
60 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
61 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
62
* Fully synchronous design, no latches, no gated clocks
63
* Small hardware footprint and high operating frequency
64 15 zero_gravi
 
65 22 zero_gravi
 
66 2 zero_gravi
### Design Principles
67
 
68 39 zero_gravi
 * From zero to *hello_world*: Completely open source and documented.
69 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
70
 * Easy to use – working out of the box.
71
 * Clean synchronous design, no wacky combinatorial interfaces.
72 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
73 40 zero_gravi
 * Be as RISC-V-compliant as possible.
74
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k low-power FPGA running at 20+ MHz.
75 2 zero_gravi
 
76
 
77 36 zero_gravi
### Status
78 3 zero_gravi
 
79 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
80 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
81 2 zero_gravi
 
82 40 zero_gravi
The processor passes the official `rv32_m/C`, `rv32_m/I`, `rv32_m/M`, `rv32_m/privilege` and `rv32_m/Zifencei`
83
[RISC-V compliance tests (new framework v2)](https://github.com/riscv/riscv-compliance).
84 2 zero_gravi
 
85 40 zero_gravi
| Project component | CI status | Note     |
86
|:----------------- |:----------|:---------|
87
| [NEORV32 processor](https://github.com/stnolting/neorv32) | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
88
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt) | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
89
| RISC-V compliance test | | See [riscv-compliance/README.md](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md) |
90 6 zero_gravi
 
91
 
92 39 zero_gravi
### To-Do / Wish List / Help Wanted
93 7 zero_gravi
 
94 35 zero_gravi
* Use LaTeX for data sheet
95 39 zero_gravi
* Further size and performance optimization *(work in progress)*
96
* A cache for the external memory/bus interface *(work in progress)*
97
* Burst mode for the external memory/bus interface
98 40 zero_gravi
* RISC-V `B` extension ([bitmanipulation](https://github.com/riscv/riscv-bitmanip)) *(shelved)*
99 39 zero_gravi
* Synthesis results (+ wrappers?) for more/specific platforms
100 37 zero_gravi
* More support for FreeRTOS
101 40 zero_gravi
* Port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
102
* Single-precision floating point unit (`F`) *(planned)*
103
* Implement further RISC-V (or custom?) CPU extensions
104
* Add debugger ([RISC-V debug spec](https://github.com/riscv/riscv-debug-spec))
105
* Add memory-mapped trigger to testbench to quit simulation (using VHDL2008's `use std.env.finish;`) - but how? :thinking:
106 36 zero_gravi
* ...
107 40 zero_gravi
* [Ideas?](#ContributeFeedbackQuestions)
108 7 zero_gravi
 
109
 
110 36 zero_gravi
 
111 2 zero_gravi
## Features
112
 
113 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
114 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
115 31 zero_gravi
 
116 36 zero_gravi
### NEORV32 Processor Features
117 2 zero_gravi
 
118 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
119
 
120 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
121 37 zero_gravi
is highly customizable via the processor's top generics and already provides the following *optional* modules:
122 2 zero_gravi
 
123 37 zero_gravi
* processor-internal data and instruction memories (**DMEM** / **IMEM**)
124
* internal **Bootloader** with UART console and automatic application boot from SPI flash option
125
* machine system timer (**MTIME**), RISC-V-compliant
126
* watchdog timer (**WDT**)
127
* universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
128
* 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
129
* two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
130
* general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
131
* 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
132
* wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity))
133
* PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
134
* GARO-based true random number generator (**TRNG**)
135
* custom functions units (**CFU0** and **CFU1**) for tightly-coupled custom co-processors
136
* system configuration information memory to check hardware configuration by software (**SYSINFO**, mandatory - not *optional*)
137 23 zero_gravi
 
138 36 zero_gravi
### NEORV32 CPU Features
139 2 zero_gravi
 
140 40 zero_gravi
The NEORV32 CPU is **compliant** to the
141 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
142 40 zero_gravi
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf)
143
tested via the [official RISC-V Compliance Test Framework](https://github.com/riscv/riscv-compliance)
144
(see [`riscv-compliance/README`](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md)).
145 2 zero_gravi
 
146 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
147 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
148 11 zero_gravi
 
149
 
150
**General**:
151 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
152 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
153 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
154 40 zero_gravi
  * BIG-ENDIAN byte-order, processor's external memory interface allows endianness configuration to connect to system with different endianness
155 23 zero_gravi
  * All reserved or unimplemented instructions will raise an illegal instruction exception
156 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
157 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
158 11 zero_gravi
 
159
 
160 3 zero_gravi
**RV32I base instruction set** (`I` extension):
161 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
162 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
163 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
164 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
165 40 zero_gravi
  * Pseudo-instructions are not listed
166 2 zero_gravi
 
167 3 zero_gravi
**Compressed instructions** (`C` extension):
168 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
169 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
170 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
171 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
172 40 zero_gravi
  * Pseudo-instructions are not listed
173 2 zero_gravi
 
174 3 zero_gravi
**Embedded CPU version** (`E` extension):
175 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
176
 
177 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
178 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
179
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
180 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
181
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
182 2 zero_gravi
 
183 39 zero_gravi
**Atomic memory access** (`A` extension):
184 40 zero_gravi
  * Supported instructions: `LR.W` (load-reservate) `SC.W` (store-conditional)
185 39 zero_gravi
 
186 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
187 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
188
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
189 8 zero_gravi
  * System instructions: `MRET` `WFI`
190 40 zero_gravi
  * Pseudo-instructions are not listed
191 27 zero_gravi
  * Counter CSRs: `cycle` `cycleh` `instret` `instreth` `time` `timeh` `mcycle` `mcycleh` `minstret` `minstreth`
192 40 zero_gravi
  * Machine CSRs: `mstatus` `mstatush` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
193 2 zero_gravi
  * Supported exceptions and interrupts:
194
    * Misaligned instruction address
195 38 zero_gravi
    * Instruction access fault (via unacknowledged bus access after timeout)
196 2 zero_gravi
    * Illegal instruction
197 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
198 2 zero_gravi
    * Load address misaligned
199 38 zero_gravi
    * Load access fault (via unacknowledged bus access after timeout)
200 4 zero_gravi
    * Store address misaligned
201 38 zero_gravi
    * Store access fault (via unacknowledged bus access after timeout)
202 40 zero_gravi
    * Environment call from U-mode (via `ecall` instruction in user mode)
203
    * Environment call from M-mode (via `ecall` instruction in machine mode)
204
    * Machine timer interrupt `mti` (via processor's MTIME unit / external signal)
205 15 zero_gravi
    * Machine software interrupt `msi` (via external signal)
206
    * Machine external interrupt `mei` (via external signal)
207
    * Four fast interrupt requests (custom extension)
208 2 zero_gravi
 
209 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
210 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
211 15 zero_gravi
 
212 40 zero_gravi
**Privileged architecture / instruction stream synchronization** (`Zifencei` extension):
213 17 zero_gravi
  * System instructions: `FENCE.I`
214 8 zero_gravi
 
215 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
216 23 zero_gravi
  * Additional machine CSRs: `pmpcfg0` `pmpcfg1` `pmpaddr0` `pmpaddr1` `pmpaddr2` `pmpaddr3` `pmpaddr4` `pmpaddr5` `pmpaddr6` `pmpaddr7`
217 2 zero_gravi
 
218 15 zero_gravi
 
219 23 zero_gravi
### Non-RISC-V-Compliant Issues
220
 
221 40 zero_gravi
* CPU and Processor are BIG-ENDIAN, but this should be no problem as the external memory bus interface provides big- and little-endian configurations
222 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
223 23 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode, a minimal granularity of 8 bytes and only up to 8 regions
224 39 zero_gravi
* The `A` extension only implements `lr.w` and `sc.w` instructions yet. However, these instructions are sufficient to emulate all further AMO operations
225 40 zero_gravi
* The `mcause` trap code `0x80000000` (originally reserved in the RISC-V specs) is used to indicate a hardware reset (non-maskable reset).
226 23 zero_gravi
 
227
 
228
### NEORV32-Specific CPU Extensions
229
 
230
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
231
 
232
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
233
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
234 40 zero_gravi
* All undefined/umimplemented/malformed/illegal instructions do raise an illegal instruction exception
235 23 zero_gravi
 
236
 
237
 
238 2 zero_gravi
## FPGA Implementation Results
239
 
240 23 zero_gravi
### NEORV32 CPU
241
 
242
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
243 37 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 20.1** ("balanced implementation"). The timing
244 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
245 40 zero_gravi
of the CPU's generics is assumed (for example no PMP). No constraints were used at all. The `u` and `Zifencei` extensions have
246
a negligible impact on the hardware requirements.
247 2 zero_gravi
 
248 40 zero_gravi
Results generated for hardware version [`1.4.9.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
249 2 zero_gravi
 
250 40 zero_gravi
| CPU Configuration                       | LEs        | FFs      | Memory bits | DSPs | f_max   |
251
|:----------------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
252
| `rv32i`                                 |       1190 |      512 |       2048  |    0 | 120 MHz |
253
| `rv32i`    + `u` + `Zicsr` + `Zifencei` |       1927 |      903 |       2048  |    0 | 123 MHz |
254
| `rv32im`   + `u` + `Zicsr` + `Zifencei` |       2471 |     1148 |       2048  |    0 | 120 MHz |
255
| `rv32imc`  + `u` + `Zicsr` + `Zifencei` |       2716 |     1165 |       2048  |    0 | 120 MHz |
256
| `rv32imac` + `u` + `Zicsr` + `Zifencei` |       2736 |     1168 |       2048  |    0 | 122 MHz |
257 2 zero_gravi
 
258 39 zero_gravi
Setups with enabled "embedded CPU extension" `E` show the same LUT and FF utilization and identical f_max. However, the size of the register file is cut in half.
259 2 zero_gravi
 
260 39 zero_gravi
 
261 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
262
 
263 40 zero_gravi
Results generated for hardware version [`1.4.9.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
264 11 zero_gravi
 
265 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
266 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
267 37 zero_gravi
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |    0 |
268 40 zero_gravi
| BUSSWITCH | Mux for CPU I & D interfaces                         |  65 |   8 |           0 |    0 |
269 34 zero_gravi
| CFU0      | Custom functions unit 0                              |   - |   - |           - |    - |
270
| CFU1      | Custom functions unit 1                              |   - |   - |           - |    - |
271 39 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |   6 |   2 |      65 536 |    0 |
272 40 zero_gravi
| GPIO      | General purpose input/output ports                   |  67 |  65 |           0 |    0 |
273 39 zero_gravi
| IMEM      | Processor-internal instruction memory (default 16kb) |   6 |   2 |     131 072 |    0 |
274 40 zero_gravi
| MTIME     | Machine system timer                                 | 274 | 166 |           0 |    0 |
275 39 zero_gravi
| PWM       | Pulse-width modulation controller                    |  71 |  69 |           0 |    0 |
276 40 zero_gravi
| SPI       | Serial peripheral interface                          | 138 | 124 |           0 |    0 |
277
| SYSINFO   | System configuration information memory              |  11 |  10 |           0 |    0 |
278 31 zero_gravi
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
279 40 zero_gravi
| TWI       | Two-wire interface                                   |  77 |  46 |           0 |    0 |
280
| UART      | Universal asynchronous receiver/transmitter          | 176 | 132 |           0 |    0 |
281
| WDT       | Watchdog timer                                       |  60 |  45 |           0 |    0 |
282 39 zero_gravi
| WISHBONE  | External memory interface                            | 129 | 104 |           0 |    0 |
283 2 zero_gravi
 
284
 
285 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
286 6 zero_gravi
 
287 34 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFUs_ and no _TRNG_),
288 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
289 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
290 40 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals. The "default" strategy of each toolchain is used.
291 6 zero_gravi
 
292 40 zero_gravi
Results generated for hardware version [`1.4.9.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
293 6 zero_gravi
 
294 40 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
295
|:--------|:----------------------------------|:-----------------|:---------------------------|:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
296
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 20.1    | `rv32imc` + `u` + `Zicsr` + `Zifencei`         | 3813 (17%) | 1904  (8%) | 0 (0%) | 231424 (38%) |          - |        - |       119 MHz |
297
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4397 (83%) | 1679 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) | *c* 22.15 MHz |
298
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2465 (12%) | 1912  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
299 2 zero_gravi
 
300 23 zero_gravi
**_Notes_**
301 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
302 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
303
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
304 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
305
bootloader to store and automatically boot an application program after reset (both tested successfully).
306 40 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 64kB.
307 2 zero_gravi
 
308 22 zero_gravi
 
309
 
310 2 zero_gravi
## Performance
311
 
312
### CoreMark Benchmark
313
 
314
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
315
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
316
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
317
 
318 40 zero_gravi
Results generated for hardware version [`1.4.7.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
319 2 zero_gravi
 
320
~~~
321
**Configuration**
322 38 zero_gravi
Hardware:       32kB IMEM, 16kB DMEM, 100MHz clock
323
CoreMark:       2000 iterations, MEM_METHOD is MEM_STACK
324
Compiler:       RISCV32-GCC 10.1.0 (rv32i toolchain)
325
Compiler flags: default, see makefile
326
Peripherals:    UART for printing the results
327 2 zero_gravi
~~~
328
 
329 34 zero_gravi
| CPU                                         | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
330
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
331 37 zero_gravi
| `rv32i`                                     |    27 424 bytes |        `-O3` |          35.71 |    **0.3571** |
332
| `rv32im`                                    |    26 232 bytes |        `-O3` |          66.66 |    **0.6666** |
333
| `rv32imc`                                   |    20 876 bytes |        `-O3` |          66.66 |    **0.6666** |
334
| `rv32imc` + `FAST_MUL_EN`                   |    20 876 bytes |        `-O3` |          83.33 |    **0.8333** |
335
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    20 876 bytes |        `-O3` |          86.96 |    **0.8696** |
336 2 zero_gravi
 
337 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
338
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
339 2 zero_gravi
 
340 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
341 22 zero_gravi
 
342 34 zero_gravi
 
343 2 zero_gravi
### Instruction Cycles
344
 
345 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
346 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
347
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
348 2 zero_gravi
CPU extensions.
349
 
350 34 zero_gravi
Please note that by default the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
351 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
352
 
353 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
354 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
355 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
356 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
357 2 zero_gravi
 
358 40 zero_gravi
Results generated for hardware version [`1.4.7.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
359 2 zero_gravi
 
360 34 zero_gravi
| CPU                                         | Required Clock Cycles | Executed Instructions | Average CPI |
361
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
362 37 zero_gravi
| `rv32i`                                     |         5 648 997 774 |         1 469 233 238 |    **3.84** |
363
| `rv32im`                                    |         3 036 749 774 |           601 871 338 |    **5.05** |
364
| `rv32imc`                                   |         3 036 959 882 |           615 034 616 |    **4.94** |
365
| `rv32imc` + `FAST_MUL_EN`                   |         2 454 407 882 |           615 034 588 |    **3.99** |
366
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 320 308 322 |           615 034 676 |    **3.77** |
367 2 zero_gravi
 
368
 
369 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
370
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
371
 
372 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
373 12 zero_gravi
 
374 22 zero_gravi
 
375 31 zero_gravi
 
376 14 zero_gravi
## Top Entities
377 2 zero_gravi
 
378 36 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd).
379 2 zero_gravi
 
380 36 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
381 34 zero_gravi
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected (`open`) and tie all unused
382
input ports to zero (`'0'` or `(others => '0')`, respectively).
383 14 zero_gravi
 
384 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
385 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
386 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
387 22 zero_gravi
 
388 23 zero_gravi
 
389 36 zero_gravi
### Using the CPU in Stand-Alone Mode
390 23 zero_gravi
 
391 36 zero_gravi
If you do not want to use the NEORV32 processor setup, you can also use the CPU in stand-alone mode and build your own system around it.
392
The top entity of the stand-alone **NEORV32 CPU** is [`rtl/core/neorv32_cpu.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd).
393
Note that the CPU uses a proprietary interface for accessing data and instruction memory. More information can be found in the
394 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
395 14 zero_gravi
 
396 36 zero_gravi
:warning: It is recommended to use the processor setup even if you only want to use the CPU. Simply disable all the processor-internal modules via the generics
397
and you will get a "CPU wrapper" that provides a minimal CPU environment and an external memory interface (like AXI4). This setup also allows to further use the default
398
bootloader and application makefiles. From this base you can start building your own processor system.
399 2 zero_gravi
 
400 36 zero_gravi
 
401
### Alternative Top Entities
402
 
403
*Alternative top entities*, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
404
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
405
 
406
 
407 35 zero_gravi
### AXI4 Connectivity
408 22 zero_gravi
 
409 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
410
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
411
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
412 2 zero_gravi
 
413 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
414
 
415
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
416
 
417
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
418
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
419
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
420
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
421
 
422
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
423
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
424
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
425
 
426
 
427
 
428 2 zero_gravi
## Getting Started
429
 
430
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
431
 
432 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
433 2 zero_gravi
 
434
 
435 14 zero_gravi
### Toolchain
436 2 zero_gravi
 
437
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
438
and build the toolchain by yourself, or you can download a prebuilt one and install it.
439
 
440 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
441
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
442 2 zero_gravi
 
443 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
444 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
445 2 zero_gravi
 
446 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
447 40 zero_gravi
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
448
[:octocat: github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
449 2 zero_gravi
 
450
 
451 22 zero_gravi
### Dowload the NEORV32 Project
452 2 zero_gravi
 
453 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
454 12 zero_gravi
 
455 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
456
 
457 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
458
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
459 2 zero_gravi
 
460 22 zero_gravi
 
461
### Create a new Hardware Project
462
 
463 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
464
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
465
 
466 40 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) or one of its
467 36 zero_gravi
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try out the processor,
468
you can use the simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
469 2 zero_gravi
 
470 40 zero_gravi
![neorv32 test setup](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_test_setup.png)
471
 
472
 
473 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
474 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
475 23 zero_gravi
 
476 2 zero_gravi
```vhdl
477 9 zero_gravi
  entity neorv32_test_setup is
478
    port (
479
      -- Global control --
480
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
481
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
482
      -- GPIO --
483
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
484
      -- UART --
485
      uart_txd_o : out std_ulogic; -- UART send data
486
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
487
    );
488
  end neorv32_test_setup;
489 2 zero_gravi
```
490
 
491
 
492 23 zero_gravi
### Check the Toolchain
493 2 zero_gravi
 
494 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
495 2 zero_gravi
`sw/example/blink_led` and run:
496
 
497
    neorv32/sw/example/blink_led$ make check
498
 
499 23 zero_gravi
 
500
### Compiling an Example Program
501
 
502 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
503
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
504 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
505 2 zero_gravi
 
506 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
507 2 zero_gravi
 
508 23 zero_gravi
 
509
### Upload the Executable via the Bootloader
510
 
511 34 zero_gravi
You can upload a generated executable directly from the command line using the makefile's `upload` target. Replace `/dev/ttyUSB0` with
512
the according serial port.
513
 
514
    sw/exeample/blink_example$ make COM_PORT=/dev/ttyUSB0` upload
515
 
516
A more "secure" way is to use a dedicated terminal program. This allows to directly interact with the bootloader console.
517 23 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
518 2 zero_gravi
uses the following default UART configuration:
519
 
520 32 zero_gravi
* 19200 Baud
521
* 8 data bits
522
* 1 stop bit
523
* No parity bits
524
* No transmission / flow control protocol (raw bytes only)
525
* Newline on `\r\n` (carriage return & newline) - also for sent data
526 2 zero_gravi
 
527 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
528 2 zero_gravi
 
529 9 zero_gravi
```
530
  << NEORV32 Bootloader >>
531
 
532 37 zero_gravi
  BLDV: Nov  7 2020
533
  HWV:  0x01040606
534 9 zero_gravi
  CLK:  0x0134FD90 Hz
535 13 zero_gravi
  USER: 0x0001CE40
536 9 zero_gravi
  MISA: 0x42801104
537 27 zero_gravi
  PROC: 0x03FF0035
538 9 zero_gravi
  IMEM: 0x00010000 bytes @ 0x00000000
539
  DMEM: 0x00010000 bytes @ 0x80000000
540
 
541
  Autoboot in 8s. Press key to abort.
542
  Aborted.
543
 
544
  Available CMDs:
545
   h: Help
546
   r: Restart
547
   u: Upload
548
   s: Store to flash
549
   l: Load from flash
550
   e: Execute
551
  CMD:> u
552
  Awaiting neorv32_exe.bin... OK
553
  CMD:> e
554
  Booting...
555
 
556
  Blinking LED demo program
557
```
558 2 zero_gravi
 
559 40 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
560 2 zero_gravi
 
561
 
562
 
563 40 zero_gravi
## Contribute/Feedback/Questions
564 2 zero_gravi
 
565 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
566 40 zero_gravi
to [:bulb: open a new issue](https://github.com/stnolting/neorv32/issues), start a new [:sparkles: discussion on GitHub](https://github.com/stnolting/neorv32/discussions)
567
or directly [:e-mail: drop me a line](mailto:stnolting@gmail.com).
568 2 zero_gravi
 
569 40 zero_gravi
If you'd like to directly contribute to this repository:
570 22 zero_gravi
 
571 40 zero_gravi
0. :star: this repository ;)
572
1. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
573
2. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
574
3. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
575
4. Create a new remote for the upstream repo: `git remote add upstream https://github.com/stnolting/neorv32`
576
5. Commit your modifications: `git commit -m "Awesome new feature!"`
577
6. Push to the branch: `git push origin awesome_new_feature_branch`
578
7. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
579 2 zero_gravi
 
580 40 zero_gravi
 
581 11 zero_gravi
## Legal
582 2 zero_gravi
 
583 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
584 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
585
 
586 37 zero_gravi
#### Citing
587 11 zero_gravi
 
588 34 zero_gravi
If you are using the NEORV32 or some parts of the project in some kind of publication, please cite it as follows:
589 2 zero_gravi
 
590 34 zero_gravi
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
591 2 zero_gravi
 
592 9 zero_gravi
#### BSD 3-Clause License
593 2 zero_gravi
 
594
Copyright (c) 2020, Stephan Nolting. All rights reserved.
595
 
596
Redistribution and use in source and binary forms, with or without modification, are
597
permitted provided that the following conditions are met:
598
 
599
1. Redistributions of source code must retain the above copyright notice, this list of
600
conditions and the following disclaimer.
601
2. Redistributions in binary form must reproduce the above copyright notice, this list of
602
conditions and the following disclaimer in the documentation and/or other materials
603
provided with the distribution.
604
3. Neither the name of the copyright holder nor the names of its contributors may be used to
605
endorse or promote products derived from this software without specific prior written
606
permission.
607
 
608
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
609
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
610
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
611
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
612
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
613
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
614
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
615
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
616
OF THE POSSIBILITY OF SUCH DAMAGE.
617
 
618
 
619 9 zero_gravi
#### Limitation of Liability for External Links
620
 
621 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
622 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
623
such external content. In all cases, the provider of information of the linked websites
624
is liable for the content and accuracy of the information provided. At the point in time
625
when the links were placed, no infringements of the law were recognisable to us. As soon
626
as an infringement of the law becomes known to us, we will immediately remove the
627
link in question.
628
 
629
 
630 11 zero_gravi
#### Proprietary  Notice
631 9 zero_gravi
 
632 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
633
 
634 35 zero_gravi
"Cyclone", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
635 2 zero_gravi
 
636 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
637 11 zero_gravi
 
638 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
639 2 zero_gravi
 
640
 
641
 
642 18 zero_gravi
## Acknowledgements
643 9 zero_gravi
 
644 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
645
 
646 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
647 11 zero_gravi
 
648 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
649
 
650
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
651
 
652
 
653
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
654
 
655
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
656
 
657 32 zero_gravi
--------
658 2 zero_gravi
 
659 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.