OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 42

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 zero_gravi
[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo.png)](https://github.com/stnolting/neorv32)
2 2 zero_gravi
 
3 37 zero_gravi
# The NEORV32 RISC-V Processor
4
 
5 2 zero_gravi
[![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32)
6
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
7
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
8
 
9 32 zero_gravi
* [Overview](#Overview)
10
* [Project Status](#Status)
11 2 zero_gravi
* [Features](#Features)
12
* [FPGA Implementation Results](#FPGA-Implementation-Results)
13
* [Performance](#Performance)
14 30 zero_gravi
* [Top Entities](#Top-Entities)
15 2 zero_gravi
* [**Getting Started**](#Getting-Started)
16 40 zero_gravi
* [Contribute/Feedback/Questions](#ContributeFeedbackQuestions)
17 2 zero_gravi
* [Legal](#Legal)
18
 
19
 
20
 
21 32 zero_gravi
## Overview
22 2 zero_gravi
 
23 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
24 36 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The processor is intended as *ready-to-go* auxiliary processor within a larger SoC
25 37 zero_gravi
designs or as stand-alone custom microcontroller.
26 2 zero_gravi
 
27 40 zero_gravi
The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
28
To see the changes between releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
29
For more detailed information take a look at the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
30 11 zero_gravi
 
31 40 zero_gravi
 
32 32 zero_gravi
### Key Features
33 2 zero_gravi
 
34 37 zero_gravi
* RISC-V-[compliant](#Status) 32-bit `rv32i` [**NEORV32 CPU**](#NEORV32-CPU-Features), compliant to
35
  * Subset of the *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
36
  * Subset of the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
37 39 zero_gravi
* Optional RISC-V CPU extensions
38
  * `A` - atomic memory access instructions
39 36 zero_gravi
  * `C` - compressed instructions (16-bit)
40
  * `E` - embedded CPU (reduced register file)
41
  * `M` - integer multiplication and division hardware
42
  * `U` - less-privileged *user mode*
43
  * `Zicsr` - control and status register access instructions (+ exception/irq system)
44
  * `Zifencei` - instruction stream synchronization
45
  * `PMP` - physical memory protection
46 39 zero_gravi
* Full-scale RISC-V microcontroller system / **SoC** [**NEORV32 Processor**](#NEORV32-Processor-Features) with optional submodules
47 41 zero_gravi
  * optional embedded memories (instructions/data/bootloader, RAM/ROM) and caches
48 37 zero_gravi
  * timers (watch dog, RISC-V-compliant machine timer)
49 39 zero_gravi
  * serial interfaces (SPI, TWI, UART) and general purpose IO
50 37 zero_gravi
  * external bus interface (Wishbone / [AXI4](#AXI4-Connectivity))
51
  * [more ...](#NEORV32-Processor-Features)
52 36 zero_gravi
* Software framework
53 37 zero_gravi
  * core libraries for high-level usage of the provided functions and peripherals
54
  * application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
55 36 zero_gravi
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
56
  * runtime environment
57
  * several example programs
58 37 zero_gravi
  * [doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
59 36 zero_gravi
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
60 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
61 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
62
* Fully synchronous design, no latches, no gated clocks
63
* Small hardware footprint and high operating frequency
64 15 zero_gravi
 
65 22 zero_gravi
 
66 2 zero_gravi
### Design Principles
67
 
68 39 zero_gravi
 * From zero to *hello_world*: Completely open source and documented.
69 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
70
 * Easy to use – working out of the box.
71
 * Clean synchronous design, no wacky combinatorial interfaces.
72 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
73 40 zero_gravi
 * Be as RISC-V-compliant as possible.
74
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k low-power FPGA running at 20+ MHz.
75 2 zero_gravi
 
76
 
77 36 zero_gravi
### Status
78 3 zero_gravi
 
79 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
80 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
81 2 zero_gravi
 
82 40 zero_gravi
The processor passes the official `rv32_m/C`, `rv32_m/I`, `rv32_m/M`, `rv32_m/privilege` and `rv32_m/Zifencei`
83
[RISC-V compliance tests (new framework v2)](https://github.com/riscv/riscv-compliance).
84 2 zero_gravi
 
85 40 zero_gravi
| Project component | CI status | Note     |
86
|:----------------- |:----------|:---------|
87
| [NEORV32 processor](https://github.com/stnolting/neorv32) | [![Build Status](https://travis-ci.com/stnolting/neorv32.svg?branch=master)](https://travis-ci.com/stnolting/neorv32) | [![sw doc](https://img.shields.io/badge/SW%20documentation-gh--pages-blue)](https://stnolting.github.io/neorv32/files.html) |
88
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt) | [![Build Status](https://travis-ci.com/stnolting/riscv_gcc_prebuilt.svg?branch=master)](https://travis-ci.com/stnolting/riscv_gcc_prebuilt) | |
89
| RISC-V compliance test | | See [riscv-compliance/README.md](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md) |
90 6 zero_gravi
 
91
 
92 39 zero_gravi
### To-Do / Wish List / Help Wanted
93 7 zero_gravi
 
94 35 zero_gravi
* Use LaTeX for data sheet
95 42 zero_gravi
* Further size and performance optimization *[work in progress]*
96 41 zero_gravi
* Add associativity configuration for instruction cache
97 42 zero_gravi
* Add *data* cache
98 39 zero_gravi
* Burst mode for the external memory/bus interface
99 42 zero_gravi
* RISC-V `F` (using `Zfinx`?) CPU extension (single-precision floating point) *[planning]*
100
* RISC-V `B` CPU extension ([bitmanipulation](https://github.com/riscv/riscv-bitmanip)) *[shelved]*
101 39 zero_gravi
* Synthesis results (+ wrappers?) for more/specific platforms
102 42 zero_gravi
* More support for FreeRTOS (like *all* traps)
103 40 zero_gravi
* Port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
104
* Implement further RISC-V (or custom?) CPU extensions
105
* Add debugger ([RISC-V debug spec](https://github.com/riscv/riscv-debug-spec))
106 42 zero_gravi
* Add memory-mapped trigger to testbench to quit simulation (maybe using VHDL2008's `use std.env.finish`?)
107 36 zero_gravi
* ...
108 40 zero_gravi
* [Ideas?](#ContributeFeedbackQuestions)
109 7 zero_gravi
 
110
 
111 36 zero_gravi
 
112 2 zero_gravi
## Features
113
 
114 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
115 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
116 31 zero_gravi
 
117 36 zero_gravi
### NEORV32 Processor Features
118 2 zero_gravi
 
119 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
120
 
121 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
122 37 zero_gravi
is highly customizable via the processor's top generics and already provides the following *optional* modules:
123 2 zero_gravi
 
124 41 zero_gravi
* processor-internal data and instruction memories (**DMEM** / **IMEM**) & cache (**iCACHE**)
125
* bootloader (**BOOTLDROM**) with UART console and automatic application boot from SPI flash option
126 37 zero_gravi
* machine system timer (**MTIME**), RISC-V-compliant
127
* watchdog timer (**WDT**)
128
* universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
129
* 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
130
* two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
131
* general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
132
* 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
133
* wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity))
134
* PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
135
* GARO-based true random number generator (**TRNG**)
136
* custom functions units (**CFU0** and **CFU1**) for tightly-coupled custom co-processors
137
* system configuration information memory to check hardware configuration by software (**SYSINFO**, mandatory - not *optional*)
138 23 zero_gravi
 
139 36 zero_gravi
### NEORV32 CPU Features
140 2 zero_gravi
 
141 40 zero_gravi
The NEORV32 CPU is **compliant** to the
142 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
143 40 zero_gravi
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf)
144
tested via the [official RISC-V Compliance Test Framework](https://github.com/riscv/riscv-compliance)
145
(see [`riscv-compliance/README`](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md)).
146 2 zero_gravi
 
147 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
148 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
149 11 zero_gravi
 
150
 
151
**General**:
152 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
153 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
154 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
155 40 zero_gravi
  * BIG-ENDIAN byte-order, processor's external memory interface allows endianness configuration to connect to system with different endianness
156 23 zero_gravi
  * All reserved or unimplemented instructions will raise an illegal instruction exception
157 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
158 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
159 11 zero_gravi
 
160
 
161 3 zero_gravi
**RV32I base instruction set** (`I` extension):
162 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
163 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
164 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
165 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
166 40 zero_gravi
  * Pseudo-instructions are not listed
167 2 zero_gravi
 
168 3 zero_gravi
**Compressed instructions** (`C` extension):
169 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
170 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
171 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
172 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
173 40 zero_gravi
  * Pseudo-instructions are not listed
174 2 zero_gravi
 
175 3 zero_gravi
**Embedded CPU version** (`E` extension):
176 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
177
 
178 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
179 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
180
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
181 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
182
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
183 2 zero_gravi
 
184 39 zero_gravi
**Atomic memory access** (`A` extension):
185 40 zero_gravi
  * Supported instructions: `LR.W` (load-reservate) `SC.W` (store-conditional)
186 39 zero_gravi
 
187 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
188 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
189
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
190 8 zero_gravi
  * System instructions: `MRET` `WFI`
191 40 zero_gravi
  * Pseudo-instructions are not listed
192 42 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[m]` `time[h]` `[m]hpmcounter*[h]`(3..31, configurable) `mcounteren` `mcountinhibit` `mhpmevent*`(3..31, configurable)
193
  * Machine CSRs: `mstatus[h]` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
194 2 zero_gravi
  * Supported exceptions and interrupts:
195
    * Misaligned instruction address
196 38 zero_gravi
    * Instruction access fault (via unacknowledged bus access after timeout)
197 2 zero_gravi
    * Illegal instruction
198 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
199 2 zero_gravi
    * Load address misaligned
200 38 zero_gravi
    * Load access fault (via unacknowledged bus access after timeout)
201 4 zero_gravi
    * Store address misaligned
202 38 zero_gravi
    * Store access fault (via unacknowledged bus access after timeout)
203 40 zero_gravi
    * Environment call from U-mode (via `ecall` instruction in user mode)
204
    * Environment call from M-mode (via `ecall` instruction in machine mode)
205
    * Machine timer interrupt `mti` (via processor's MTIME unit / external signal)
206 15 zero_gravi
    * Machine software interrupt `msi` (via external signal)
207
    * Machine external interrupt `mei` (via external signal)
208
    * Four fast interrupt requests (custom extension)
209 2 zero_gravi
 
210 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
211 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
212 15 zero_gravi
 
213 40 zero_gravi
**Privileged architecture / instruction stream synchronization** (`Zifencei` extension):
214 41 zero_gravi
  * System instructions: `FENCE.I` (among others, used to clear and reload instruction cache)
215 8 zero_gravi
 
216 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
217 42 zero_gravi
  * Configurable number of regions
218
  * Additional machine CSRs: `pmpcfg*`(0..15) `pmpaddr*`(0..63)
219 2 zero_gravi
 
220 15 zero_gravi
 
221 23 zero_gravi
### Non-RISC-V-Compliant Issues
222
 
223 40 zero_gravi
* CPU and Processor are BIG-ENDIAN, but this should be no problem as the external memory bus interface provides big- and little-endian configurations
224 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
225 42 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode yet and a minimal granularity of 8 bytes
226 39 zero_gravi
* The `A` extension only implements `lr.w` and `sc.w` instructions yet. However, these instructions are sufficient to emulate all further AMO operations
227 42 zero_gravi
* The `mcause` trap code `0x80000000` (originally reserved in the RISC-V specs) is used to indicate a hardware reset (as "non-maskable interrupt").
228 23 zero_gravi
 
229
 
230
### NEORV32-Specific CPU Extensions
231
 
232
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
233
 
234
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
235
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
236 40 zero_gravi
* All undefined/umimplemented/malformed/illegal instructions do raise an illegal instruction exception
237 23 zero_gravi
 
238
 
239
 
240 2 zero_gravi
## FPGA Implementation Results
241
 
242 23 zero_gravi
### NEORV32 CPU
243
 
244
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
245 37 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 20.1** ("balanced implementation"). The timing
246 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
247 42 zero_gravi
of the CPU's generics is assumed (e.g. no physical memory protection, no hardware performance monitors).
248
No constraints were used at all. The `u` and `Zifencei` extensions have a negligible impact on the hardware requirements.
249 2 zero_gravi
 
250 41 zero_gravi
Results generated for hardware version [`1.4.9.2`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
251 2 zero_gravi
 
252 40 zero_gravi
| CPU Configuration                       | LEs        | FFs      | Memory bits | DSPs | f_max   |
253
|:----------------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
254
| `rv32i`                                 |       1190 |      512 |       2048  |    0 | 120 MHz |
255
| `rv32i`    + `u` + `Zicsr` + `Zifencei` |       1927 |      903 |       2048  |    0 | 123 MHz |
256
| `rv32im`   + `u` + `Zicsr` + `Zifencei` |       2471 |     1148 |       2048  |    0 | 120 MHz |
257
| `rv32imc`  + `u` + `Zicsr` + `Zifencei` |       2716 |     1165 |       2048  |    0 | 120 MHz |
258
| `rv32imac` + `u` + `Zicsr` + `Zifencei` |       2736 |     1168 |       2048  |    0 | 122 MHz |
259 2 zero_gravi
 
260 39 zero_gravi
Setups with enabled "embedded CPU extension" `E` show the same LUT and FF utilization and identical f_max. However, the size of the register file is cut in half.
261 2 zero_gravi
 
262 39 zero_gravi
 
263 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
264
 
265 41 zero_gravi
Results generated for hardware version [`1.4.9.2`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
266 11 zero_gravi
 
267 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
268 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
269 37 zero_gravi
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |    0 |
270 40 zero_gravi
| BUSSWITCH | Mux for CPU I & D interfaces                         |  65 |   8 |           0 |    0 |
271 41 zero_gravi
| iCACHE    | Proc.-int. nstruction cache (default 1x4x54 bytes)   | 234 | 156 |       8 192 |    0 |
272 34 zero_gravi
| CFU0      | Custom functions unit 0                              |   - |   - |           - |    - |
273
| CFU1      | Custom functions unit 1                              |   - |   - |           - |    - |
274 39 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |   6 |   2 |      65 536 |    0 |
275 40 zero_gravi
| GPIO      | General purpose input/output ports                   |  67 |  65 |           0 |    0 |
276 39 zero_gravi
| IMEM      | Processor-internal instruction memory (default 16kb) |   6 |   2 |     131 072 |    0 |
277 40 zero_gravi
| MTIME     | Machine system timer                                 | 274 | 166 |           0 |    0 |
278 39 zero_gravi
| PWM       | Pulse-width modulation controller                    |  71 |  69 |           0 |    0 |
279 40 zero_gravi
| SPI       | Serial peripheral interface                          | 138 | 124 |           0 |    0 |
280
| SYSINFO   | System configuration information memory              |  11 |  10 |           0 |    0 |
281 31 zero_gravi
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
282 40 zero_gravi
| TWI       | Two-wire interface                                   |  77 |  46 |           0 |    0 |
283
| UART      | Universal asynchronous receiver/transmitter          | 176 | 132 |           0 |    0 |
284
| WDT       | Watchdog timer                                       |  60 |  45 |           0 |    0 |
285 39 zero_gravi
| WISHBONE  | External memory interface                            | 129 | 104 |           0 |    0 |
286 2 zero_gravi
 
287
 
288 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
289 6 zero_gravi
 
290 34 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFUs_ and no _TRNG_),
291 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
292 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
293 40 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals. The "default" strategy of each toolchain is used.
294 6 zero_gravi
 
295 40 zero_gravi
Results generated for hardware version [`1.4.9.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
296 6 zero_gravi
 
297 40 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
298
|:--------|:----------------------------------|:-----------------|:---------------------------|:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
299
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 20.1    | `rv32imc` + `u` + `Zicsr` + `Zifencei`         | 3813 (17%) | 1904  (8%) | 0 (0%) | 231424 (38%) |          - |        - |       119 MHz |
300
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4397 (83%) | 1679 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) | *c* 22.15 MHz |
301
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2465 (12%) | 1912  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
302 2 zero_gravi
 
303 23 zero_gravi
**_Notes_**
304 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
305 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
306
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
307 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
308
bootloader to store and automatically boot an application program after reset (both tested successfully).
309 40 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 64kB.
310 42 zero_gravi
* No HPM counters are implemented.
311 2 zero_gravi
 
312 22 zero_gravi
 
313
 
314 2 zero_gravi
## Performance
315
 
316
### CoreMark Benchmark
317
 
318
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
319
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
320
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
321
 
322
~~~
323
**Configuration**
324 42 zero_gravi
Hardware:       32kB IMEM, 16kB DMEM, no caches(!), 100MHz clock
325 38 zero_gravi
CoreMark:       2000 iterations, MEM_METHOD is MEM_STACK
326
Compiler:       RISCV32-GCC 10.1.0 (rv32i toolchain)
327
Compiler flags: default, see makefile
328
Peripherals:    UART for printing the results
329 2 zero_gravi
~~~
330
 
331 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
332
 
333
| CPU (including `Zicsr`)                     | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
334 34 zero_gravi
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
335 42 zero_gravi
| `rv32i`                                     |    28 756 bytes |        `-O3` |          36.36 |    **0.3636** |
336
| `rv32im`                                    |    27 516 bytes |        `-O3` |          68.97 |    **0.6897** |
337
| `rv32imc`                                   |    22 008 bytes |        `-O3` |          68.97 |    **0.6897** |
338
| `rv32imc` + `FAST_MUL_EN`                   |    22 008 bytes |        `-O3` |          86.96 |    **0.8696** |
339
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    22 008 bytes |        `-O3` |          90.91 |    **0.9091** |
340 2 zero_gravi
 
341 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
342
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
343 2 zero_gravi
 
344 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
345 22 zero_gravi
 
346 34 zero_gravi
 
347 2 zero_gravi
### Instruction Cycles
348
 
349 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
350 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
351
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
352 42 zero_gravi
CPU extensions. *By default* the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
353 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
354
 
355 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
356 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
357 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
358 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
359 2 zero_gravi
 
360 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
361 2 zero_gravi
 
362 42 zero_gravi
| CPU  (including `Zicsr`)                    | Required Clock Cycles | Executed Instructions | Average CPI |
363 34 zero_gravi
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
364 42 zero_gravi
| `rv32i`                                     |         5 595 750 503 |         1 466 028 607 |    **3.82** |
365
| `rv32im`                                    |         2 966 086 503 |           598 651 143 |    **4.95** |
366
| `rv32imc`                                   |         2 981 786 734 |           611 814 918 |    **4.87** |
367
| `rv32imc` + `FAST_MUL_EN`                   |         2 399 234 734 |           611 814 918 |    **3.92** |
368
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 265 135 174 |           611 814 948 |    **3.70** |
369 2 zero_gravi
 
370 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
371
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
372
 
373 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
374 12 zero_gravi
 
375 22 zero_gravi
 
376 31 zero_gravi
 
377 14 zero_gravi
## Top Entities
378 2 zero_gravi
 
379 36 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd).
380 2 zero_gravi
 
381 36 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
382 34 zero_gravi
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected (`open`) and tie all unused
383
input ports to zero (`'0'` or `(others => '0')`, respectively).
384 14 zero_gravi
 
385 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
386 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
387 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
388 22 zero_gravi
 
389 23 zero_gravi
 
390 36 zero_gravi
### Using the CPU in Stand-Alone Mode
391 23 zero_gravi
 
392 36 zero_gravi
If you do not want to use the NEORV32 processor setup, you can also use the CPU in stand-alone mode and build your own system around it.
393
The top entity of the stand-alone **NEORV32 CPU** is [`rtl/core/neorv32_cpu.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd).
394
Note that the CPU uses a proprietary interface for accessing data and instruction memory. More information can be found in the
395 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
396 14 zero_gravi
 
397 36 zero_gravi
:warning: It is recommended to use the processor setup even if you only want to use the CPU. Simply disable all the processor-internal modules via the generics
398
and you will get a "CPU wrapper" that provides a minimal CPU environment and an external memory interface (like AXI4). This setup also allows to further use the default
399
bootloader and application makefiles. From this base you can start building your own processor system.
400 2 zero_gravi
 
401 36 zero_gravi
 
402
### Alternative Top Entities
403
 
404
*Alternative top entities*, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
405
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
406
 
407
 
408 35 zero_gravi
### AXI4 Connectivity
409 22 zero_gravi
 
410 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
411
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
412
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
413 2 zero_gravi
 
414 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
415
 
416
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
417
 
418
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
419
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
420
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
421
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
422
 
423
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
424
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
425
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
426
 
427
 
428
 
429 2 zero_gravi
## Getting Started
430
 
431
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
432
 
433 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
434 2 zero_gravi
 
435
 
436 14 zero_gravi
### Toolchain
437 2 zero_gravi
 
438
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
439
and build the toolchain by yourself, or you can download a prebuilt one and install it.
440
 
441 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
442
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
443 2 zero_gravi
 
444 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
445 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
446 2 zero_gravi
 
447 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
448 40 zero_gravi
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
449
[:octocat: github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
450 2 zero_gravi
 
451
 
452 22 zero_gravi
### Dowload the NEORV32 Project
453 2 zero_gravi
 
454 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
455 12 zero_gravi
 
456 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
457
 
458 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
459
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
460 2 zero_gravi
 
461 22 zero_gravi
 
462
### Create a new Hardware Project
463
 
464 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
465
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
466
 
467 40 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) or one of its
468 36 zero_gravi
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try out the processor,
469
you can use the simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
470 2 zero_gravi
 
471 40 zero_gravi
![neorv32 test setup](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_test_setup.png)
472
 
473
 
474 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
475 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
476 23 zero_gravi
 
477 2 zero_gravi
```vhdl
478 9 zero_gravi
  entity neorv32_test_setup is
479
    port (
480
      -- Global control --
481
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
482
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
483
      -- GPIO --
484
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
485
      -- UART --
486
      uart_txd_o : out std_ulogic; -- UART send data
487
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
488
    );
489
  end neorv32_test_setup;
490 2 zero_gravi
```
491
 
492
 
493 23 zero_gravi
### Check the Toolchain
494 2 zero_gravi
 
495 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
496 2 zero_gravi
`sw/example/blink_led` and run:
497
 
498
    neorv32/sw/example/blink_led$ make check
499
 
500 23 zero_gravi
 
501
### Compiling an Example Program
502
 
503 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
504
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
505 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
506 2 zero_gravi
 
507 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
508 2 zero_gravi
 
509 23 zero_gravi
 
510
### Upload the Executable via the Bootloader
511
 
512 34 zero_gravi
You can upload a generated executable directly from the command line using the makefile's `upload` target. Replace `/dev/ttyUSB0` with
513
the according serial port.
514
 
515
    sw/exeample/blink_example$ make COM_PORT=/dev/ttyUSB0` upload
516
 
517
A more "secure" way is to use a dedicated terminal program. This allows to directly interact with the bootloader console.
518 23 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
519 2 zero_gravi
uses the following default UART configuration:
520
 
521 32 zero_gravi
* 19200 Baud
522
* 8 data bits
523
* 1 stop bit
524
* No parity bits
525
* No transmission / flow control protocol (raw bytes only)
526
* Newline on `\r\n` (carriage return & newline) - also for sent data
527 2 zero_gravi
 
528 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
529 2 zero_gravi
 
530 9 zero_gravi
```
531
  << NEORV32 Bootloader >>
532
 
533 37 zero_gravi
  BLDV: Nov  7 2020
534
  HWV:  0x01040606
535 9 zero_gravi
  CLK:  0x0134FD90 Hz
536 13 zero_gravi
  USER: 0x0001CE40
537 9 zero_gravi
  MISA: 0x42801104
538 27 zero_gravi
  PROC: 0x03FF0035
539 9 zero_gravi
  IMEM: 0x00010000 bytes @ 0x00000000
540
  DMEM: 0x00010000 bytes @ 0x80000000
541
 
542
  Autoboot in 8s. Press key to abort.
543
  Aborted.
544
 
545
  Available CMDs:
546
   h: Help
547
   r: Restart
548
   u: Upload
549
   s: Store to flash
550
   l: Load from flash
551
   e: Execute
552
  CMD:> u
553
  Awaiting neorv32_exe.bin... OK
554
  CMD:> e
555
  Booting...
556
 
557
  Blinking LED demo program
558
```
559 2 zero_gravi
 
560 40 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
561 2 zero_gravi
 
562
 
563
 
564 40 zero_gravi
## Contribute/Feedback/Questions
565 2 zero_gravi
 
566 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
567 40 zero_gravi
to [:bulb: open a new issue](https://github.com/stnolting/neorv32/issues), start a new [:sparkles: discussion on GitHub](https://github.com/stnolting/neorv32/discussions)
568
or directly [:e-mail: drop me a line](mailto:stnolting@gmail.com).
569 2 zero_gravi
 
570 40 zero_gravi
If you'd like to directly contribute to this repository:
571 22 zero_gravi
 
572 40 zero_gravi
0. :star: this repository ;)
573
1. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
574
2. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
575
3. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
576
4. Create a new remote for the upstream repo: `git remote add upstream https://github.com/stnolting/neorv32`
577
5. Commit your modifications: `git commit -m "Awesome new feature!"`
578
6. Push to the branch: `git push origin awesome_new_feature_branch`
579
7. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
580 2 zero_gravi
 
581 40 zero_gravi
 
582 11 zero_gravi
## Legal
583 2 zero_gravi
 
584 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
585 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
586
 
587 37 zero_gravi
#### Citing
588 11 zero_gravi
 
589 34 zero_gravi
If you are using the NEORV32 or some parts of the project in some kind of publication, please cite it as follows:
590 2 zero_gravi
 
591 34 zero_gravi
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
592 2 zero_gravi
 
593 9 zero_gravi
#### BSD 3-Clause License
594 2 zero_gravi
 
595 42 zero_gravi
Copyright (c) 2021, Stephan Nolting. All rights reserved.
596 2 zero_gravi
 
597
Redistribution and use in source and binary forms, with or without modification, are
598
permitted provided that the following conditions are met:
599
 
600
1. Redistributions of source code must retain the above copyright notice, this list of
601
conditions and the following disclaimer.
602
2. Redistributions in binary form must reproduce the above copyright notice, this list of
603
conditions and the following disclaimer in the documentation and/or other materials
604
provided with the distribution.
605
3. Neither the name of the copyright holder nor the names of its contributors may be used to
606
endorse or promote products derived from this software without specific prior written
607
permission.
608
 
609
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
610
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
611
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
612
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
613
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
614
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
615
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
616
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
617
OF THE POSSIBILITY OF SUCH DAMAGE.
618
 
619
 
620 9 zero_gravi
#### Limitation of Liability for External Links
621
 
622 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
623 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
624
such external content. In all cases, the provider of information of the linked websites
625
is liable for the content and accuracy of the information provided. At the point in time
626
when the links were placed, no infringements of the law were recognisable to us. As soon
627
as an infringement of the law becomes known to us, we will immediately remove the
628
link in question.
629
 
630
 
631 11 zero_gravi
#### Proprietary  Notice
632 9 zero_gravi
 
633 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
634
 
635 35 zero_gravi
"Cyclone", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
636 2 zero_gravi
 
637 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
638 11 zero_gravi
 
639 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
640 2 zero_gravi
 
641
 
642
 
643 18 zero_gravi
## Acknowledgements
644 9 zero_gravi
 
645 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
646
 
647 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
648 11 zero_gravi
 
649 2 zero_gravi
[![Continous Integration provided by Travis CI](https://travis-ci.com/images/logos/TravisCI-Full-Color.png)](https://travis-ci.com/stnolting/neorv32)
650
 
651
Continous integration provided by [Travis CI](https://travis-ci.com/stnolting/neorv32) and powered by [GHDL](https://github.com/ghdl/ghdl).
652
 
653
 
654
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
655
 
656
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
657
 
658 32 zero_gravi
--------
659 2 zero_gravi
 
660 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.