OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 43

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 zero_gravi
[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo.png)](https://github.com/stnolting/neorv32)
2 2 zero_gravi
 
3 37 zero_gravi
# The NEORV32 RISC-V Processor
4
 
5 43 zero_gravi
[![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22)
6
[![RISC-V Compliance](https://github.com/stnolting/neorv32/workflows/RISC-V%20Compliance/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22RISC-V+Compliance%22)
7 2 zero_gravi
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
8
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
9
 
10 32 zero_gravi
* [Overview](#Overview)
11
* [Project Status](#Status)
12 2 zero_gravi
* [Features](#Features)
13
* [FPGA Implementation Results](#FPGA-Implementation-Results)
14
* [Performance](#Performance)
15 30 zero_gravi
* [Top Entities](#Top-Entities)
16 2 zero_gravi
* [**Getting Started**](#Getting-Started)
17 40 zero_gravi
* [Contribute/Feedback/Questions](#ContributeFeedbackQuestions)
18 2 zero_gravi
* [Legal](#Legal)
19
 
20
 
21
 
22 32 zero_gravi
## Overview
23 2 zero_gravi
 
24 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
25 36 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The processor is intended as *ready-to-go* auxiliary processor within a larger SoC
26 37 zero_gravi
designs or as stand-alone custom microcontroller.
27 2 zero_gravi
 
28 40 zero_gravi
The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
29
To see the changes between releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
30
For more detailed information take a look at the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
31 11 zero_gravi
 
32 40 zero_gravi
 
33 32 zero_gravi
### Key Features
34 2 zero_gravi
 
35 37 zero_gravi
* RISC-V-[compliant](#Status) 32-bit `rv32i` [**NEORV32 CPU**](#NEORV32-CPU-Features), compliant to
36
  * Subset of the *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
37
  * Subset of the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
38 39 zero_gravi
* Optional RISC-V CPU extensions
39
  * `A` - atomic memory access instructions
40 36 zero_gravi
  * `C` - compressed instructions (16-bit)
41
  * `E` - embedded CPU (reduced register file)
42
  * `M` - integer multiplication and division hardware
43
  * `U` - less-privileged *user mode*
44
  * `Zicsr` - control and status register access instructions (+ exception/irq system)
45
  * `Zifencei` - instruction stream synchronization
46
  * `PMP` - physical memory protection
47 39 zero_gravi
* Full-scale RISC-V microcontroller system / **SoC** [**NEORV32 Processor**](#NEORV32-Processor-Features) with optional submodules
48 41 zero_gravi
  * optional embedded memories (instructions/data/bootloader, RAM/ROM) and caches
49 37 zero_gravi
  * timers (watch dog, RISC-V-compliant machine timer)
50 39 zero_gravi
  * serial interfaces (SPI, TWI, UART) and general purpose IO
51 37 zero_gravi
  * external bus interface (Wishbone / [AXI4](#AXI4-Connectivity))
52
  * [more ...](#NEORV32-Processor-Features)
53 36 zero_gravi
* Software framework
54 37 zero_gravi
  * core libraries for high-level usage of the provided functions and peripherals
55
  * application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
56 36 zero_gravi
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv_gcc_prebuilt))
57
  * runtime environment
58
  * several example programs
59 37 zero_gravi
  * [doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
60 36 zero_gravi
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
61 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
62 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
63
* Fully synchronous design, no latches, no gated clocks
64
* Small hardware footprint and high operating frequency
65 15 zero_gravi
 
66 22 zero_gravi
 
67 2 zero_gravi
### Design Principles
68
 
69 39 zero_gravi
 * From zero to *hello_world*: Completely open source and documented.
70 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
71
 * Easy to use – working out of the box.
72
 * Clean synchronous design, no wacky combinatorial interfaces.
73 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
74 40 zero_gravi
 * Be as RISC-V-compliant as possible.
75
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k low-power FPGA running at 20+ MHz.
76 2 zero_gravi
 
77
 
78 36 zero_gravi
### Status
79 3 zero_gravi
 
80 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
81 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
82 2 zero_gravi
 
83 40 zero_gravi
The processor passes the official `rv32_m/C`, `rv32_m/I`, `rv32_m/M`, `rv32_m/privilege` and `rv32_m/Zifencei`
84
[RISC-V compliance tests (new framework v2)](https://github.com/riscv/riscv-compliance).
85 2 zero_gravi
 
86 43 zero_gravi
| Project component | CI status |
87
|:----------------- |:----------|
88
| [NEORV32 processor](https://github.com/stnolting/neorv32)                                             | [![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22) |
89
| [SW Framework Documentation (online)](https://stnolting.github.io/neorv32/files.html)                 | [![Doc@GitHub-pages](https://github.com/stnolting/neorv32/workflows/Deploy%20SW%20Framework%20Documentation%20to%20GitHub-Pages/badge.svg)](https://stnolting.github.io/neorv32/files.html) |
90
| [Pre-built toolchain](https://github.com/stnolting/riscv_gcc_prebuilt)                                | [![Test Toolchains](https://github.com/stnolting/riscv_gcc_prebuilt/workflows/Test%20Toolchains/badge.svg)](https://github.com/stnolting/riscv_gcc_prebuilt/actions?query=workflow%3A%22Test+Toolchains%22) |
91
| [RISC-V compliance test](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md) | [![RISC-V Compliance](https://github.com/stnolting/neorv32/workflows/RISC-V%20Compliance/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22RISC-V+Compliance%22) |
92 6 zero_gravi
 
93
 
94 43 zero_gravi
 
95 39 zero_gravi
### To-Do / Wish List / Help Wanted
96 7 zero_gravi
 
97 35 zero_gravi
* Use LaTeX for data sheet
98 42 zero_gravi
* Further size and performance optimization *[work in progress]*
99 41 zero_gravi
* Add associativity configuration for instruction cache
100 42 zero_gravi
* Add *data* cache
101 39 zero_gravi
* Burst mode for the external memory/bus interface
102 42 zero_gravi
* RISC-V `F` (using `Zfinx`?) CPU extension (single-precision floating point) *[planning]*
103 43 zero_gravi
* RISC-V `B` (using `Zbb`?) CPU extension ([bitmanipulation](https://github.com/riscv/riscv-bitmanip)) *[planning]*
104 39 zero_gravi
* Synthesis results (+ wrappers?) for more/specific platforms
105 42 zero_gravi
* More support for FreeRTOS (like *all* traps)
106 40 zero_gravi
* Port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
107
* Implement further RISC-V (or custom?) CPU extensions
108
* Add debugger ([RISC-V debug spec](https://github.com/riscv/riscv-debug-spec))
109 42 zero_gravi
* Add memory-mapped trigger to testbench to quit simulation (maybe using VHDL2008's `use std.env.finish`?)
110 36 zero_gravi
* ...
111 40 zero_gravi
* [Ideas?](#ContributeFeedbackQuestions)
112 7 zero_gravi
 
113
 
114 36 zero_gravi
 
115 2 zero_gravi
## Features
116
 
117 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
118 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
119 31 zero_gravi
 
120 36 zero_gravi
### NEORV32 Processor Features
121 2 zero_gravi
 
122 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
123
 
124 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
125 37 zero_gravi
is highly customizable via the processor's top generics and already provides the following *optional* modules:
126 2 zero_gravi
 
127 41 zero_gravi
* processor-internal data and instruction memories (**DMEM** / **IMEM**) & cache (**iCACHE**)
128
* bootloader (**BOOTLDROM**) with UART console and automatic application boot from SPI flash option
129 37 zero_gravi
* machine system timer (**MTIME**), RISC-V-compliant
130
* watchdog timer (**WDT**)
131
* universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
132
* 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
133
* two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
134
* general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
135
* 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
136
* wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity))
137
* PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
138
* GARO-based true random number generator (**TRNG**)
139
* custom functions units (**CFU0** and **CFU1**) for tightly-coupled custom co-processors
140
* system configuration information memory to check hardware configuration by software (**SYSINFO**, mandatory - not *optional*)
141 23 zero_gravi
 
142 36 zero_gravi
### NEORV32 CPU Features
143 2 zero_gravi
 
144 40 zero_gravi
The NEORV32 CPU is **compliant** to the
145 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
146 40 zero_gravi
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf)
147
tested via the [official RISC-V Compliance Test Framework](https://github.com/riscv/riscv-compliance)
148
(see [`riscv-compliance/README`](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md)).
149 2 zero_gravi
 
150 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
151 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
152 11 zero_gravi
 
153
 
154
**General**:
155 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
156 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
157 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
158 40 zero_gravi
  * BIG-ENDIAN byte-order, processor's external memory interface allows endianness configuration to connect to system with different endianness
159 23 zero_gravi
  * All reserved or unimplemented instructions will raise an illegal instruction exception
160 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
161 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
162 11 zero_gravi
 
163
 
164 3 zero_gravi
**RV32I base instruction set** (`I` extension):
165 2 zero_gravi
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
166 7 zero_gravi
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
167 2 zero_gravi
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
168 8 zero_gravi
  * System instructions: `ECALL` `EBREAK` `FENCE`
169 40 zero_gravi
  * Pseudo-instructions are not listed
170 2 zero_gravi
 
171 3 zero_gravi
**Compressed instructions** (`C` extension):
172 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
173 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
174 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
175 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
176 40 zero_gravi
  * Pseudo-instructions are not listed
177 2 zero_gravi
 
178 3 zero_gravi
**Embedded CPU version** (`E` extension):
179 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
180
 
181 3 zero_gravi
**Integer multiplication and division hardware** (`M` extension):
182 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
183
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
184 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
185
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
186 2 zero_gravi
 
187 39 zero_gravi
**Atomic memory access** (`A` extension):
188 40 zero_gravi
  * Supported instructions: `LR.W` (load-reservate) `SC.W` (store-conditional)
189 39 zero_gravi
 
190 8 zero_gravi
**Privileged architecture / CSR access** (`Zicsr` extension):
191 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
192
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
193 8 zero_gravi
  * System instructions: `MRET` `WFI`
194 40 zero_gravi
  * Pseudo-instructions are not listed
195 42 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[m]` `time[h]` `[m]hpmcounter*[h]`(3..31, configurable) `mcounteren` `mcountinhibit` `mhpmevent*`(3..31, configurable)
196
  * Machine CSRs: `mstatus[h]` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
197 2 zero_gravi
  * Supported exceptions and interrupts:
198
    * Misaligned instruction address
199 38 zero_gravi
    * Instruction access fault (via unacknowledged bus access after timeout)
200 2 zero_gravi
    * Illegal instruction
201 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
202 2 zero_gravi
    * Load address misaligned
203 38 zero_gravi
    * Load access fault (via unacknowledged bus access after timeout)
204 4 zero_gravi
    * Store address misaligned
205 38 zero_gravi
    * Store access fault (via unacknowledged bus access after timeout)
206 40 zero_gravi
    * Environment call from U-mode (via `ecall` instruction in user mode)
207
    * Environment call from M-mode (via `ecall` instruction in machine mode)
208
    * Machine timer interrupt `mti` (via processor's MTIME unit / external signal)
209 15 zero_gravi
    * Machine software interrupt `msi` (via external signal)
210
    * Machine external interrupt `mei` (via external signal)
211
    * Four fast interrupt requests (custom extension)
212 2 zero_gravi
 
213 15 zero_gravi
**Privileged architecture / User mode** (`U` extension, requires `Zicsr` extension):
214 16 zero_gravi
  * Privilege levels: `M-mode` (Machine mode) + `U-mode` (User mode)
215 15 zero_gravi
 
216 40 zero_gravi
**Privileged architecture / instruction stream synchronization** (`Zifencei` extension):
217 41 zero_gravi
  * System instructions: `FENCE.I` (among others, used to clear and reload instruction cache)
218 8 zero_gravi
 
219 18 zero_gravi
**Privileged architecture / Physical memory protection** (`PMP`, requires `Zicsr` extension):
220 42 zero_gravi
  * Configurable number of regions
221
  * Additional machine CSRs: `pmpcfg*`(0..15) `pmpaddr*`(0..63)
222 2 zero_gravi
 
223 15 zero_gravi
 
224 23 zero_gravi
### Non-RISC-V-Compliant Issues
225
 
226 40 zero_gravi
* CPU and Processor are BIG-ENDIAN, but this should be no problem as the external memory bus interface provides big- and little-endian configurations
227 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
228 42 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode yet and a minimal granularity of 8 bytes
229 39 zero_gravi
* The `A` extension only implements `lr.w` and `sc.w` instructions yet. However, these instructions are sufficient to emulate all further AMO operations
230 42 zero_gravi
* The `mcause` trap code `0x80000000` (originally reserved in the RISC-V specs) is used to indicate a hardware reset (as "non-maskable interrupt").
231 23 zero_gravi
 
232
 
233
### NEORV32-Specific CPU Extensions
234
 
235
The NEORV32-specific extensions are always enabled and are indicated via the `X` bit in the `misa` CSR.
236
 
237
* Four *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
238
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
239 40 zero_gravi
* All undefined/umimplemented/malformed/illegal instructions do raise an illegal instruction exception
240 23 zero_gravi
 
241
 
242
 
243 2 zero_gravi
## FPGA Implementation Results
244
 
245 23 zero_gravi
### NEORV32 CPU
246
 
247
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
248 37 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 20.1** ("balanced implementation"). The timing
249 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
250 42 zero_gravi
of the CPU's generics is assumed (e.g. no physical memory protection, no hardware performance monitors).
251
No constraints were used at all. The `u` and `Zifencei` extensions have a negligible impact on the hardware requirements.
252 2 zero_gravi
 
253 41 zero_gravi
Results generated for hardware version [`1.4.9.2`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
254 2 zero_gravi
 
255 40 zero_gravi
| CPU Configuration                       | LEs        | FFs      | Memory bits | DSPs | f_max   |
256
|:----------------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
257
| `rv32i`                                 |       1190 |      512 |       2048  |    0 | 120 MHz |
258
| `rv32i`    + `u` + `Zicsr` + `Zifencei` |       1927 |      903 |       2048  |    0 | 123 MHz |
259
| `rv32im`   + `u` + `Zicsr` + `Zifencei` |       2471 |     1148 |       2048  |    0 | 120 MHz |
260
| `rv32imc`  + `u` + `Zicsr` + `Zifencei` |       2716 |     1165 |       2048  |    0 | 120 MHz |
261
| `rv32imac` + `u` + `Zicsr` + `Zifencei` |       2736 |     1168 |       2048  |    0 | 122 MHz |
262 2 zero_gravi
 
263 39 zero_gravi
Setups with enabled "embedded CPU extension" `E` show the same LUT and FF utilization and identical f_max. However, the size of the register file is cut in half.
264 2 zero_gravi
 
265 39 zero_gravi
 
266 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
267
 
268 41 zero_gravi
Results generated for hardware version [`1.4.9.2`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
269 11 zero_gravi
 
270 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
271 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
272 37 zero_gravi
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |    0 |
273 40 zero_gravi
| BUSSWITCH | Mux for CPU I & D interfaces                         |  65 |   8 |           0 |    0 |
274 41 zero_gravi
| iCACHE    | Proc.-int. nstruction cache (default 1x4x54 bytes)   | 234 | 156 |       8 192 |    0 |
275 34 zero_gravi
| CFU0      | Custom functions unit 0                              |   - |   - |           - |    - |
276
| CFU1      | Custom functions unit 1                              |   - |   - |           - |    - |
277 39 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |   6 |   2 |      65 536 |    0 |
278 40 zero_gravi
| GPIO      | General purpose input/output ports                   |  67 |  65 |           0 |    0 |
279 39 zero_gravi
| IMEM      | Processor-internal instruction memory (default 16kb) |   6 |   2 |     131 072 |    0 |
280 40 zero_gravi
| MTIME     | Machine system timer                                 | 274 | 166 |           0 |    0 |
281 39 zero_gravi
| PWM       | Pulse-width modulation controller                    |  71 |  69 |           0 |    0 |
282 40 zero_gravi
| SPI       | Serial peripheral interface                          | 138 | 124 |           0 |    0 |
283
| SYSINFO   | System configuration information memory              |  11 |  10 |           0 |    0 |
284 31 zero_gravi
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
285 40 zero_gravi
| TWI       | Two-wire interface                                   |  77 |  46 |           0 |    0 |
286
| UART      | Universal asynchronous receiver/transmitter          | 176 | 132 |           0 |    0 |
287
| WDT       | Watchdog timer                                       |  60 |  45 |           0 |    0 |
288 39 zero_gravi
| WISHBONE  | External memory interface                            | 129 | 104 |           0 |    0 |
289 2 zero_gravi
 
290
 
291 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
292 6 zero_gravi
 
293 34 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFUs_ and no _TRNG_),
294 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
295 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
296 40 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals. The "default" strategy of each toolchain is used.
297 6 zero_gravi
 
298 40 zero_gravi
Results generated for hardware version [`1.4.9.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
299 6 zero_gravi
 
300 40 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
301
|:--------|:----------------------------------|:-----------------|:---------------------------|:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
302
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 20.1    | `rv32imc` + `u` + `Zicsr` + `Zifencei`         | 3813 (17%) | 1904  (8%) | 0 (0%) | 231424 (38%) |          - |        - |       119 MHz |
303
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4397 (83%) | 1679 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) | *c* 22.15 MHz |
304
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2465 (12%) | 1912  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
305 2 zero_gravi
 
306 23 zero_gravi
**_Notes_**
307 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
308 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
309
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
310 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
311
bootloader to store and automatically boot an application program after reset (both tested successfully).
312 40 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 64kB.
313 42 zero_gravi
* No HPM counters are implemented.
314 2 zero_gravi
 
315 22 zero_gravi
 
316
 
317 2 zero_gravi
## Performance
318
 
319
### CoreMark Benchmark
320
 
321
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
322
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
323
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
324
 
325
~~~
326
**Configuration**
327 42 zero_gravi
Hardware:       32kB IMEM, 16kB DMEM, no caches(!), 100MHz clock
328 38 zero_gravi
CoreMark:       2000 iterations, MEM_METHOD is MEM_STACK
329
Compiler:       RISCV32-GCC 10.1.0 (rv32i toolchain)
330
Compiler flags: default, see makefile
331
Peripherals:    UART for printing the results
332 2 zero_gravi
~~~
333
 
334 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
335
 
336
| CPU (including `Zicsr`)                     | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
337 34 zero_gravi
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
338 42 zero_gravi
| `rv32i`                                     |    28 756 bytes |        `-O3` |          36.36 |    **0.3636** |
339
| `rv32im`                                    |    27 516 bytes |        `-O3` |          68.97 |    **0.6897** |
340
| `rv32imc`                                   |    22 008 bytes |        `-O3` |          68.97 |    **0.6897** |
341
| `rv32imc` + `FAST_MUL_EN`                   |    22 008 bytes |        `-O3` |          86.96 |    **0.8696** |
342
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    22 008 bytes |        `-O3` |          90.91 |    **0.9091** |
343 2 zero_gravi
 
344 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
345
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
346 2 zero_gravi
 
347 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
348 22 zero_gravi
 
349 34 zero_gravi
 
350 2 zero_gravi
### Instruction Cycles
351
 
352 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
353 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
354
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
355 42 zero_gravi
CPU extensions. *By default* the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
356 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
357
 
358 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
359 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
360 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
361 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
362 2 zero_gravi
 
363 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
364 2 zero_gravi
 
365 42 zero_gravi
| CPU  (including `Zicsr`)                    | Required Clock Cycles | Executed Instructions | Average CPI |
366 34 zero_gravi
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
367 42 zero_gravi
| `rv32i`                                     |         5 595 750 503 |         1 466 028 607 |    **3.82** |
368
| `rv32im`                                    |         2 966 086 503 |           598 651 143 |    **4.95** |
369
| `rv32imc`                                   |         2 981 786 734 |           611 814 918 |    **4.87** |
370
| `rv32imc` + `FAST_MUL_EN`                   |         2 399 234 734 |           611 814 918 |    **3.92** |
371
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 265 135 174 |           611 814 948 |    **3.70** |
372 2 zero_gravi
 
373 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
374
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
375
 
376 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
377 12 zero_gravi
 
378 22 zero_gravi
 
379 31 zero_gravi
 
380 14 zero_gravi
## Top Entities
381 2 zero_gravi
 
382 36 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd).
383 2 zero_gravi
 
384 36 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
385 34 zero_gravi
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected (`open`) and tie all unused
386
input ports to zero (`'0'` or `(others => '0')`, respectively).
387 14 zero_gravi
 
388 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
389 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
390 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
391 22 zero_gravi
 
392 23 zero_gravi
 
393 36 zero_gravi
### Using the CPU in Stand-Alone Mode
394 23 zero_gravi
 
395 36 zero_gravi
If you do not want to use the NEORV32 processor setup, you can also use the CPU in stand-alone mode and build your own system around it.
396
The top entity of the stand-alone **NEORV32 CPU** is [`rtl/core/neorv32_cpu.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd).
397
Note that the CPU uses a proprietary interface for accessing data and instruction memory. More information can be found in the
398 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
399 14 zero_gravi
 
400 36 zero_gravi
:warning: It is recommended to use the processor setup even if you only want to use the CPU. Simply disable all the processor-internal modules via the generics
401
and you will get a "CPU wrapper" that provides a minimal CPU environment and an external memory interface (like AXI4). This setup also allows to further use the default
402
bootloader and application makefiles. From this base you can start building your own processor system.
403 2 zero_gravi
 
404 36 zero_gravi
 
405
### Alternative Top Entities
406
 
407
*Alternative top entities*, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
408
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
409
 
410
 
411 35 zero_gravi
### AXI4 Connectivity
412 22 zero_gravi
 
413 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
414
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
415
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
416 2 zero_gravi
 
417 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
418
 
419
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
420
 
421
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
422
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
423
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
424
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
425
 
426
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
427
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
428
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
429
 
430
 
431
 
432 2 zero_gravi
## Getting Started
433
 
434
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
435
 
436 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
437 2 zero_gravi
 
438
 
439 14 zero_gravi
### Toolchain
440 2 zero_gravi
 
441
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
442
and build the toolchain by yourself, or you can download a prebuilt one and install it.
443
 
444 14 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
445
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
446 2 zero_gravi
 
447 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
448 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
449 2 zero_gravi
 
450 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
451 40 zero_gravi
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
452
[:octocat: github.com/stnolting/riscv_gcc_prebuilt](https://github.com/stnolting/riscv_gcc_prebuilt)
453 2 zero_gravi
 
454
 
455 22 zero_gravi
### Dowload the NEORV32 Project
456 2 zero_gravi
 
457 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
458 12 zero_gravi
 
459 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
460
 
461 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
462
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
463 2 zero_gravi
 
464 22 zero_gravi
 
465
### Create a new Hardware Project
466
 
467 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
468
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
469
 
470 40 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) or one of its
471 36 zero_gravi
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try out the processor,
472
you can use the simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
473 2 zero_gravi
 
474 40 zero_gravi
![neorv32 test setup](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_test_setup.png)
475
 
476
 
477 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
478 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
479 23 zero_gravi
 
480 2 zero_gravi
```vhdl
481 9 zero_gravi
  entity neorv32_test_setup is
482
    port (
483
      -- Global control --
484
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
485
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
486
      -- GPIO --
487
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
488
      -- UART --
489
      uart_txd_o : out std_ulogic; -- UART send data
490
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
491
    );
492
  end neorv32_test_setup;
493 2 zero_gravi
```
494
 
495
 
496 23 zero_gravi
### Check the Toolchain
497 2 zero_gravi
 
498 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
499 2 zero_gravi
`sw/example/blink_led` and run:
500
 
501
    neorv32/sw/example/blink_led$ make check
502
 
503 23 zero_gravi
 
504
### Compiling an Example Program
505
 
506 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
507
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
508 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
509 2 zero_gravi
 
510 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
511 2 zero_gravi
 
512 23 zero_gravi
 
513
### Upload the Executable via the Bootloader
514
 
515 34 zero_gravi
You can upload a generated executable directly from the command line using the makefile's `upload` target. Replace `/dev/ttyUSB0` with
516
the according serial port.
517
 
518
    sw/exeample/blink_example$ make COM_PORT=/dev/ttyUSB0` upload
519
 
520
A more "secure" way is to use a dedicated terminal program. This allows to directly interact with the bootloader console.
521 23 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
522 2 zero_gravi
uses the following default UART configuration:
523
 
524 32 zero_gravi
* 19200 Baud
525
* 8 data bits
526
* 1 stop bit
527
* No parity bits
528
* No transmission / flow control protocol (raw bytes only)
529
* Newline on `\r\n` (carriage return & newline) - also for sent data
530 2 zero_gravi
 
531 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
532 2 zero_gravi
 
533 9 zero_gravi
```
534 43 zero_gravi
<< NEORV32 Bootloader >>
535
 
536
BLDV: Nov  7 2020
537
HWV:  0x01040606
538
CLK:  0x0134FD90 Hz
539
USER: 0x0001CE40
540
MISA: 0x42801104
541
PROC: 0x03FF0035
542
IMEM: 0x00010000 bytes @ 0x00000000
543
DMEM: 0x00010000 bytes @ 0x80000000
544
 
545
Autoboot in 8s. Press key to abort.
546
Aborted.
547
 
548
Available CMDs:
549
 h: Help
550
 r: Restart
551
 u: Upload
552
 s: Store to flash
553
 l: Load from flash
554
 e: Execute
555
CMD:> u
556
Awaiting neorv32_exe.bin... OK
557
CMD:> e
558
Booting...
559
 
560
Blinking LED demo program
561 9 zero_gravi
```
562 2 zero_gravi
 
563 40 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
564 2 zero_gravi
 
565
 
566
 
567 40 zero_gravi
## Contribute/Feedback/Questions
568 2 zero_gravi
 
569 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
570 40 zero_gravi
to [:bulb: open a new issue](https://github.com/stnolting/neorv32/issues), start a new [:sparkles: discussion on GitHub](https://github.com/stnolting/neorv32/discussions)
571
or directly [:e-mail: drop me a line](mailto:stnolting@gmail.com).
572 2 zero_gravi
 
573 40 zero_gravi
If you'd like to directly contribute to this repository:
574 22 zero_gravi
 
575 40 zero_gravi
0. :star: this repository ;)
576
1. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
577
2. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
578
3. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
579
4. Create a new remote for the upstream repo: `git remote add upstream https://github.com/stnolting/neorv32`
580
5. Commit your modifications: `git commit -m "Awesome new feature!"`
581
6. Push to the branch: `git push origin awesome_new_feature_branch`
582
7. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
583 2 zero_gravi
 
584 40 zero_gravi
 
585 11 zero_gravi
## Legal
586 2 zero_gravi
 
587 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
588 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
589
 
590 37 zero_gravi
#### Citing
591 11 zero_gravi
 
592 34 zero_gravi
If you are using the NEORV32 or some parts of the project in some kind of publication, please cite it as follows:
593 2 zero_gravi
 
594 34 zero_gravi
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
595 2 zero_gravi
 
596 9 zero_gravi
#### BSD 3-Clause License
597 2 zero_gravi
 
598 42 zero_gravi
Copyright (c) 2021, Stephan Nolting. All rights reserved.
599 2 zero_gravi
 
600
Redistribution and use in source and binary forms, with or without modification, are
601
permitted provided that the following conditions are met:
602
 
603
1. Redistributions of source code must retain the above copyright notice, this list of
604
conditions and the following disclaimer.
605
2. Redistributions in binary form must reproduce the above copyright notice, this list of
606
conditions and the following disclaimer in the documentation and/or other materials
607
provided with the distribution.
608
3. Neither the name of the copyright holder nor the names of its contributors may be used to
609
endorse or promote products derived from this software without specific prior written
610
permission.
611
 
612
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
613
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
614
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
615
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
616
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
617
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
618
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
619
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
620
OF THE POSSIBILITY OF SUCH DAMAGE.
621
 
622
 
623 9 zero_gravi
#### Limitation of Liability for External Links
624
 
625 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
626 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
627
such external content. In all cases, the provider of information of the linked websites
628
is liable for the content and accuracy of the information provided. At the point in time
629
when the links were placed, no infringements of the law were recognisable to us. As soon
630
as an infringement of the law becomes known to us, we will immediately remove the
631
link in question.
632
 
633
 
634 11 zero_gravi
#### Proprietary  Notice
635 9 zero_gravi
 
636 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
637
 
638 35 zero_gravi
"Cyclone", "Quartus Prime Lite" and "Avalon Bus" are trademarks of Intel Corporation.
639 2 zero_gravi
 
640 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
641 11 zero_gravi
 
642 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
643 2 zero_gravi
 
644
 
645
 
646 18 zero_gravi
## Acknowledgements
647 9 zero_gravi
 
648 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
649
 
650 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
651 11 zero_gravi
 
652 43 zero_gravi
Continous integration provided by [:octocat: GitHub Actions](https://github.com/features/actions) and powered by [GHDL](https://github.com/ghdl/ghdl).
653 2 zero_gravi
 
654
 
655
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
656
 
657
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
658
 
659 32 zero_gravi
--------
660 2 zero_gravi
 
661 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.