OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [README.md] - Blame information for rev 47

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 zero_gravi
[![NEORV32](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_logo.png)](https://github.com/stnolting/neorv32)
2 2 zero_gravi
 
3 37 zero_gravi
# The NEORV32 RISC-V Processor
4
 
5 43 zero_gravi
[![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22)
6
[![RISC-V Compliance](https://github.com/stnolting/neorv32/workflows/RISC-V%20Compliance/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22RISC-V+Compliance%22)
7 2 zero_gravi
[![license](https://img.shields.io/github/license/stnolting/neorv32)](https://github.com/stnolting/neorv32/blob/master/LICENSE)
8
[![release](https://img.shields.io/github/v/release/stnolting/neorv32)](https://github.com/stnolting/neorv32/releases)
9
 
10 32 zero_gravi
* [Overview](#Overview)
11 47 zero_gravi
* [Status](#Status)
12 2 zero_gravi
* [Features](#Features)
13
* [FPGA Implementation Results](#FPGA-Implementation-Results)
14
* [Performance](#Performance)
15 30 zero_gravi
* [Top Entities](#Top-Entities)
16 2 zero_gravi
* [**Getting Started**](#Getting-Started)
17 40 zero_gravi
* [Contribute/Feedback/Questions](#ContributeFeedbackQuestions)
18 2 zero_gravi
* [Legal](#Legal)
19
 
20
 
21
 
22 32 zero_gravi
## Overview
23 2 zero_gravi
 
24 23 zero_gravi
The NEORV32 Processor is a customizable microcontroller-like system on chip (SoC) that is based
25 36 zero_gravi
on the RISC-V-compliant NEORV32 CPU. The processor is intended as *ready-to-go* auxiliary processor within a larger SoC
26 37 zero_gravi
designs or as stand-alone custom microcontroller.
27 2 zero_gravi
 
28 47 zero_gravi
:label: The project’s change log is available in the [CHANGELOG.md](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md) file in the root directory of this repository.
29 40 zero_gravi
To see the changes between releases visit the project's [release page](https://github.com/stnolting/neorv32/releases).
30 45 zero_gravi
 
31 47 zero_gravi
:books: The doxygen-based documentation of the software framework is available online at [GitHub-pages](https://stnolting.github.io/neorv32/files.html).
32 11 zero_gravi
 
33 47 zero_gravi
:page_facing_up: For more detailed information take a look at the [NEORV32 data sheet (pdf)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
34 40 zero_gravi
 
35 47 zero_gravi
 
36 32 zero_gravi
### Key Features
37 2 zero_gravi
 
38 47 zero_gravi
* RISC-V 32-bit `rv32i` [**NEORV32 CPU**](#NEORV32-CPU-Features), compliant to
39 37 zero_gravi
  * Subset of the *Unprivileged ISA Specification* [(Version 2.2)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-privileged.pdf)
40
  * Subset of the *Privileged Architecture Specification* [(Version 1.12-draft)](https://github.com/stnolting/neorv32/blob/master/docs/riscv-spec.pdf)
41 47 zero_gravi
  * Passes the [offcial RISC-V compliance tests](#Status)
42 45 zero_gravi
* Configurable RISC-V-compliant CPU extensions
43 47 zero_gravi
  * [`A`](#Atomic-memory-access-a-extension) - atomic memory access instructions (optional)
44
  * [`B`](#Bit-manipulation-instructions-B-extension) - Bit manipulation instructions (optional)
45
  * [`C`](#Compressed-instructions-C-extension) - compressed instructions (16-bit) (optional)
46
  * [`E`](#Embedded-CPU-version-E-extension) - embedded CPU (reduced register file size) (optional)
47
  * [`I`](#Integer-base-instruction-set-I-extension) - base integer instruction set (always enabled)
48
  * [`M`](#Integer-multiplication-and-division-hardware-M-extension) - integer multiplication and division hardware (optional)
49
  * [`U`](#Privileged-architecture---User-mode-U-extension) - less-privileged *user mode* (optional)
50
  * [`X`](#NEORV32-specific-CPU-extensions-X-extension) - NEORV32-specific extensions (always enabled)
51
  * [`Zicsr`](#Privileged-architecture---CSR-access-Zicsr-extension) - control and status register access instructions (+ exception/irq system) (optional)
52
  * [`Zifencei`](#Privileged-architecture---Instruction-stream-synchronization-Zifencei-extension) - instruction stream synchronization (optional)
53
  * [`PMP`](#Privileged-architecture---Physical-memory-protection-PMP) - physical memory protection (optional)
54
  * [`HPM`](#Privileged-architecture---Hardware-performance-monitors-HPM-extension) - hardware performance monitors (optional)
55 39 zero_gravi
* Full-scale RISC-V microcontroller system / **SoC** [**NEORV32 Processor**](#NEORV32-Processor-Features) with optional submodules
56 41 zero_gravi
  * optional embedded memories (instructions/data/bootloader, RAM/ROM) and caches
57 37 zero_gravi
  * timers (watch dog, RISC-V-compliant machine timer)
58 47 zero_gravi
  * serial interfaces (SPI, TWI, UART)
59
  * general purpose IO and PWM channels
60 37 zero_gravi
  * external bus interface (Wishbone / [AXI4](#AXI4-Connectivity))
61
  * [more ...](#NEORV32-Processor-Features)
62 36 zero_gravi
* Software framework
63 37 zero_gravi
  * core libraries for high-level usage of the provided functions and peripherals
64
  * application compilation based on [GNU makefiles](https://github.com/stnolting/neorv32/blob/master/sw/example/blink_led/makefile)
65 46 zero_gravi
  * GCC-based toolchain ([pre-compiled toolchains available](https://github.com/stnolting/riscv-gcc-prebuilt))
66 45 zero_gravi
  * bootloader with UART interface console
67 36 zero_gravi
  * runtime environment
68
  * several example programs
69 37 zero_gravi
  * [doxygen-based](https://github.com/stnolting/neorv32/blob/master/docs/doxygen_makefile_sw) documentation: available on [GitHub pages](https://stnolting.github.io/neorv32/files.html)
70 36 zero_gravi
  * [FreeRTOS port](https://github.com/stnolting/neorv32/blob/master/sw/example/demo_freeRTOS) available
71 34 zero_gravi
* [**Full-blown data sheet**](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf)
72 32 zero_gravi
* Completely described in behavioral, platform-independent VHDL - no primitives, macros, etc.
73
* Fully synchronous design, no latches, no gated clocks
74
* Small hardware footprint and high operating frequency
75 15 zero_gravi
 
76 22 zero_gravi
 
77 2 zero_gravi
### Design Principles
78
 
79 39 zero_gravi
 * From zero to *hello_world*: Completely open source and documented.
80 2 zero_gravi
 * Plain VHDL without technology-specific parts like attributes, macros or primitives.
81
 * Easy to use – working out of the box.
82
 * Clean synchronous design, no wacky combinatorial interfaces.
83 23 zero_gravi
 * Be as small as possible – but with a reasonable size-performance tradeoff.
84 40 zero_gravi
 * Be as RISC-V-compliant as possible.
85
 * The processor has to fit in a Lattice iCE40 UltraPlus 5k low-power FPGA running at 20+ MHz.
86 2 zero_gravi
 
87
 
88 36 zero_gravi
### Status
89 3 zero_gravi
 
90 31 zero_gravi
The processor is [synthesizable](#FPGA-Implementation-Results) (tested on *real hardware* using Intel Quartus Prime, Xilinx Vivado and Lattice Radiant/Synplify Pro) and can successfully execute
91 30 zero_gravi
all the [provided example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) including the [CoreMark benchmark](#CoreMark-Benchmark).
92 2 zero_gravi
 
93 47 zero_gravi
**RISC-V Compliance**: The processor passes the official `rv32_m/C`, `rv32_m/I`, `rv32_m/M`, `rv32_m/privilege` and `rv32_m/Zifencei`
94
[RISC-V compliance](https://github.com/riscv/riscv-compliance) tests. More information regarding the NEORV32 port of the compliance framework can be found in
95
[`riscv-compliance/README.md`](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md).
96 2 zero_gravi
 
97 43 zero_gravi
| Project component | CI status |
98
|:----------------- |:----------|
99
| [NEORV32 processor](https://github.com/stnolting/neorv32)                                             | [![Processor Check](https://github.com/stnolting/neorv32/workflows/Processor%20Check/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22Processor+Check%22) |
100
| [SW Framework Documentation (online)](https://stnolting.github.io/neorv32/files.html)                 | [![Doc@GitHub-pages](https://github.com/stnolting/neorv32/workflows/Deploy%20SW%20Framework%20Documentation%20to%20GitHub-Pages/badge.svg)](https://stnolting.github.io/neorv32/files.html) |
101 46 zero_gravi
| [Pre-built toolchains](https://github.com/stnolting/riscv-gcc-prebuilt)                               | [![Test Toolchains](https://github.com/stnolting/riscv-gcc-prebuilt/workflows/Test%20Toolchains/badge.svg)](https://github.com/stnolting/riscv-gcc-prebuilt/actions?query=workflow%3A%22Test+Toolchains%22) |
102 43 zero_gravi
| [RISC-V compliance test](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md) | [![RISC-V Compliance](https://github.com/stnolting/neorv32/workflows/RISC-V%20Compliance/badge.svg)](https://github.com/stnolting/neorv32/actions?query=workflow%3A%22RISC-V+Compliance%22) |
103 6 zero_gravi
 
104
 
105 43 zero_gravi
 
106 39 zero_gravi
### To-Do / Wish List / Help Wanted
107 7 zero_gravi
 
108 35 zero_gravi
* Use LaTeX for data sheet
109 44 zero_gravi
* Further size and performance optimization
110 45 zero_gravi
* Further expand associativity configuration of instruction cache (4x/8x set-associativity)
111
* Add data cache
112 39 zero_gravi
* Burst mode for the external memory/bus interface
113 45 zero_gravi
* RISC-V `F` (using [`Zfinx`](https://github.com/riscv/riscv-zfinx/blob/master/Zfinx_spec.adoc)?) CPU extension (single-precision floating point)
114 44 zero_gravi
* Add template (HW module + intrinsics skeleton) for custom instructions?
115 45 zero_gravi
* Implement further RISC-V (or custom?) CPU extensions
116 42 zero_gravi
* More support for FreeRTOS (like *all* traps)
117 40 zero_gravi
* Port additional RTOSs (like [Zephyr](https://github.com/zephyrproject-rtos/zephyr) or [RIOT](https://www.riot-os.org))
118 45 zero_gravi
* Maybe port [CircuitPython](https://circuitpython.org/) (just for fun)
119 40 zero_gravi
* Add debugger ([RISC-V debug spec](https://github.com/riscv/riscv-debug-spec))
120 36 zero_gravi
* ...
121 40 zero_gravi
* [Ideas?](#ContributeFeedbackQuestions)
122 7 zero_gravi
 
123
 
124 36 zero_gravi
 
125 2 zero_gravi
## Features
126
 
127 34 zero_gravi
The full-blown data sheet of the NEORV32 Processor and CPU is available as pdf file:
128 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
129 31 zero_gravi
 
130 44 zero_gravi
 
131 36 zero_gravi
### NEORV32 Processor Features
132 2 zero_gravi
 
133 11 zero_gravi
![neorv32 Overview](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_processor.png)
134
 
135 23 zero_gravi
The NEORV32 Processor provides a full-scale microcontroller-like SoC based on the NEORV32 CPU. The setup
136 37 zero_gravi
is highly customizable via the processor's top generics and already provides the following *optional* modules:
137 2 zero_gravi
 
138 41 zero_gravi
* processor-internal data and instruction memories (**DMEM** / **IMEM**) & cache (**iCACHE**)
139
* bootloader (**BOOTLDROM**) with UART console and automatic application boot from SPI flash option
140 37 zero_gravi
* machine system timer (**MTIME**), RISC-V-compliant
141
* watchdog timer (**WDT**)
142
* universal asynchronous receiver and transmitter (**UART**) with simulation output option via text.io
143
* 8/16/24/32-bit serial peripheral interface controller (**SPI**) with 8 dedicated chip select lines
144
* two wire serial interface controller (**TWI**), with optional clock-stretching, compatible to the I²C standard
145
* general purpose parallel IO port (**GPIO**), 32xOut & 32xIn, with pin-change interrupt
146
* 32-bit external bus interface, Wishbone b4 compliant (**WISHBONE**), *standard* or *pipelined* handshake/transactions mode
147
* wrapper for **AXI4-Lite Master Interface** (see [AXI Connectivity](#AXI4-Connectivity))
148
* PWM controller with 4 channels and 8-bit duty cycle resolution (**PWM**)
149 47 zero_gravi
* ring-oscillator-based true random number generator (**TRNG**)
150
* custom functions subsystem (**CFS**) for tightly-coupled custom co-processor extensions
151 37 zero_gravi
* system configuration information memory to check hardware configuration by software (**SYSINFO**, mandatory - not *optional*)
152 23 zero_gravi
 
153 44 zero_gravi
 
154 36 zero_gravi
### NEORV32 CPU Features
155 2 zero_gravi
 
156 40 zero_gravi
The NEORV32 CPU is **compliant** to the
157 12 zero_gravi
[official RISC-V specifications (2.2)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf) including a subset of the
158 40 zero_gravi
[RISC-V privileged architecture specifications (1.12-draft)](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/riscv-spec.pdf)
159
tested via the [official RISC-V Compliance Test Framework](https://github.com/riscv/riscv-compliance)
160
(see [`riscv-compliance/README`](https://github.com/stnolting/neorv32/blob/master/riscv-compliance/README.md)).
161 2 zero_gravi
 
162 11 zero_gravi
More information regarding the CPU including a detailed list of the instruction set and the available CSRs can be found in
163 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
164 11 zero_gravi
 
165
 
166 47 zero_gravi
#### General Features
167
 
168 26 zero_gravi
  * Modified Harvard architecture (separate CPU interfaces for data and instructions; NEORV32 processor: Single processor-internal bus via I/D mux)
169 12 zero_gravi
  * Two stages in-order pipeline (FETCH, EXECUTE); each stage uses a multi-cycle processing scheme
170 15 zero_gravi
  * No hardware support of unaligned accesses - they will trigger an exception
171 40 zero_gravi
  * BIG-ENDIAN byte-order, processor's external memory interface allows endianness configuration to connect to system with different endianness
172 23 zero_gravi
  * All reserved or unimplemented instructions will raise an illegal instruction exception
173 15 zero_gravi
  * Privilege levels: `machine` mode, `user` mode (if enabled via `U` extension)
174 33 zero_gravi
  * Official [RISC-V open-source architecture ID](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md)
175 11 zero_gravi
 
176
 
177 47 zero_gravi
#### Atomic memory access (`A` extension)
178 2 zero_gravi
 
179 47 zero_gravi
  * Supported instructions: `LR.W` (load-reservate) `SC.W` (store-conditional)
180
 
181
 
182
#### Bit manipulation instructions (`B` extension)
183
 
184
  * :warning: Extension is not officially ratified yet by the RISC-V foundation!
185
  * Implies `Zbb` extension (base bit manipulation instruction set)
186
  * Compatible to [v0.94-draft](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/bitmanip-draft.pdf) of the bit manipulation spec
187
  * Support via intrisc library (see [`sw/example/bit_manipulation`](https://github.com/stnolting/neorv32/tree/master/sw/example/bit_manipulation))
188
  * Only the `Zbb` base instructions subset is supported yet
189
  * Supported instructions: `CLZ` `CTZ` `CPOP` `SEXT.B` `SEXT.H` `MIN[U]` `MAX[U]` `ANDN` `ORN` `XNOR` `ROL` `ROR` `RORI` `zext`(*pseudo-instruction* for `PACK rd, rs, zero`) `rev8`(*pseudo-instruction* for `GREVI rd, rs, -8`) `orc.b`(*pseudo-instruction* for `GORCI rd, rs, 7`)
190
 
191
 
192
#### Compressed instructions (`C` extension)
193
 
194 2 zero_gravi
  * ALU instructions: `C.ADDI4SPN` `C.ADDI` `C.ADD` `C.ADDI16SP` `C.LI` `C.LUI` `C.SLLI` `C.SRLI` `C.SRAI` `C.ANDI` `C.SUB` `C.XOR` `C.OR` `C.AND` `C.MV` `C.NOP`
195 7 zero_gravi
  * Jump and branch instructions: `C.J` `C.JAL` `C.JR` `C.JALR` `C.BEQZ` `C.BNEZ`
196 2 zero_gravi
  * Memory instructions: `C.LW` `C.SW` `C.LWSP` `C.SWSP`
197 25 zero_gravi
  * System instructions: `C.EBREAK` (only with `Zicsr` extension)
198 40 zero_gravi
  * Pseudo-instructions are not listed
199 2 zero_gravi
 
200 47 zero_gravi
#### Embedded CPU version (`E` extension)
201
 
202 2 zero_gravi
  * Reduced register file (only the 16 lowest registers)
203
 
204 47 zero_gravi
 
205
#### Integer base instruction set (`I` extension)
206
 
207
  * ALU instructions: `LUI` `AUIPC` `ADDI` `SLTI` `SLTIU` `XORI` `ORI` `ANDI` `SLLI` `SRLI` `SRAI` `ADD` `SUB` `SLL` `SLT` `SLTU` `XOR` `SRL` `SRA` `OR` `AND`
208
  * Jump and branch instructions: `JAL` `JALR` `BEQ` `BNE` `BLT` `BGE` `BLTU` `BGEU`
209
  * Memory instructions: `LB` `LH` `LW` `LBU` `LHU` `SB` `SH` `SW`
210
  * System instructions: `ECALL` `EBREAK` `FENCE`
211
  * Pseudo-instructions are not listed
212
 
213
 
214
#### Integer multiplication and division hardware (`M` extension)
215
 
216 2 zero_gravi
  * Multiplication instructions: `MUL` `MULH` `MULHSU` `MULHU`
217
  * Division instructions: `DIV` `DIVU` `REM` `REMU`
218 19 zero_gravi
  * By default, the multiplier and divider cores use an iterative bit-serial processing scheme
219
  * Multiplications can be mapped to DSPs via the `FAST_MUL_EN` generic to increase performance
220 2 zero_gravi
 
221 39 zero_gravi
 
222 47 zero_gravi
#### Privileged architecture - User mode (`U` extension)
223 44 zero_gravi
 
224 47 zero_gravi
  * Requires `Zicsr` extension
225
  * Privilege levels: `M` (machine mode) + less-privileged `U` (user mode)
226
 
227
 
228
#### NEORV32-specific CPU extensions (`X` extension)
229
 
230
* The NEORV32-specific extensions are always enabled and are indicated via the `X` bit set in the `misa` CSR.
231
* Eight *fast interrupt* request channels with according control/status bits in `mie` and `mip` and custom exception codes in `mcause`
232
* `mzext` CSR to check for implemented `Z*` CPU extensions (like `Zifencei`)
233
* All undefined/umimplemented/malformed/illegal instructions do raise an illegal instruction exception
234
 
235
 
236
#### Privileged architecture - CSR access (`Zicsr` extension)
237
 
238 2 zero_gravi
  * Privilege levels: `M-mode` (Machine mode)
239
  * CSR access instructions: `CSRRW` `CSRRS` `CSRRC` `CSRRWI` `CSRRSI` `CSRRCI`
240 8 zero_gravi
  * System instructions: `MRET` `WFI`
241 40 zero_gravi
  * Pseudo-instructions are not listed
242 42 zero_gravi
  * Counter CSRs: `[m]cycle[h]` `[m]instret[m]` `time[h]` `[m]hpmcounter*[h]`(3..31, configurable) `mcounteren` `mcountinhibit` `mhpmevent*`(3..31, configurable)
243
  * Machine CSRs: `mstatus[h]` `misa`(read-only!) `mie` `mtvec` `mscratch` `mepc` `mcause` `mtval` `mip` `mvendorid` [`marchid`](https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md) `mimpid` `mhartid` `mzext`(custom)
244 2 zero_gravi
  * Supported exceptions and interrupts:
245
    * Misaligned instruction address
246 38 zero_gravi
    * Instruction access fault (via unacknowledged bus access after timeout)
247 2 zero_gravi
    * Illegal instruction
248 4 zero_gravi
    * Breakpoint (via `ebreak` instruction)
249 2 zero_gravi
    * Load address misaligned
250 38 zero_gravi
    * Load access fault (via unacknowledged bus access after timeout)
251 4 zero_gravi
    * Store address misaligned
252 38 zero_gravi
    * Store access fault (via unacknowledged bus access after timeout)
253 40 zero_gravi
    * Environment call from U-mode (via `ecall` instruction in user mode)
254
    * Environment call from M-mode (via `ecall` instruction in machine mode)
255
    * Machine timer interrupt `mti` (via processor's MTIME unit / external signal)
256 15 zero_gravi
    * Machine software interrupt `msi` (via external signal)
257
    * Machine external interrupt `mei` (via external signal)
258 47 zero_gravi
    * Eight fast interrupt requests (custom extension)
259 2 zero_gravi
 
260 15 zero_gravi
 
261 47 zero_gravi
#### Privileged architecture - Instruction stream synchronization (`Zifencei` extension)
262
 
263 41 zero_gravi
  * System instructions: `FENCE.I` (among others, used to clear and reload instruction cache)
264 8 zero_gravi
 
265 47 zero_gravi
 
266
#### Privileged architecture - Physical memory protection (`PMP`)
267
 
268
  * Requires `Zicsr` extension
269 44 zero_gravi
  * Configurable number of regions (0..63)
270 42 zero_gravi
  * Additional machine CSRs: `pmpcfg*`(0..15) `pmpaddr*`(0..63)
271 2 zero_gravi
 
272 47 zero_gravi
 
273
#### Privileged architecture - Hardware performance monitors (`HPM` extension)
274
 
275
  * Requires `Zicsr` extension
276 44 zero_gravi
  * Configurable number of counters (0..29)
277
  * Additional machine CSRs: `mhpmevent*`(3..31) `[m]hpmcounter*[h]`(3..31)
278 15 zero_gravi
 
279 23 zero_gravi
 
280 44 zero_gravi
### :warning: Non-RISC-V-Compliant Issues and Limitations
281
 
282 40 zero_gravi
* CPU and Processor are BIG-ENDIAN, but this should be no problem as the external memory bus interface provides big- and little-endian configurations
283 30 zero_gravi
* `misa` CSR is read-only - no dynamic enabling/disabling of synthesized CPU extensions during runtime; for compatibility: write accesses (in m-mode) are ignored and do not cause an exception
284 42 zero_gravi
* The physical memory protection (**PMP**) only supports `NAPOT` mode yet and a minimal granularity of 8 bytes
285 39 zero_gravi
* The `A` extension only implements `lr.w` and `sc.w` instructions yet. However, these instructions are sufficient to emulate all further AMO operations
286 44 zero_gravi
* The `mcause` trap code `0x80000000` (originally reserved in the RISC-V specs) is used to indicate a hardware reset (as "non-maskable interrupt")
287
* The bit manipulation extension is not yet officially ratified, but is expected to stay unchanged. There is no software support in the upstream GCC RISC-V port yet. However, an intrinsic library is provided to utilize the provided bit manipulation extension from C-language code (see [`sw/example/bit_manipulation`](https://github.com/stnolting/neorv32/tree/master/sw/example/bit_manipulation)). NEORV32's `B`/`Zbb` extension is compliant to spec. version "0.94-draft".
288 23 zero_gravi
 
289
 
290
 
291 2 zero_gravi
## FPGA Implementation Results
292
 
293 23 zero_gravi
### NEORV32 CPU
294
 
295
This chapter shows exemplary implementation results of the NEORV32 CPU for an **Intel Cyclone IV EP4CE22F17C6N FPGA** on
296 37 zero_gravi
a DE0-nano board. The design was synthesized using **Intel Quartus Prime Lite 20.1** ("balanced implementation"). The timing
297 4 zero_gravi
information is derived from the Timing Analyzer / Slow 1200mV 0C Model. If not otherwise specified, the default configuration
298 42 zero_gravi
of the CPU's generics is assumed (e.g. no physical memory protection, no hardware performance monitors).
299
No constraints were used at all. The `u` and `Zifencei` extensions have a negligible impact on the hardware requirements.
300 2 zero_gravi
 
301 45 zero_gravi
Results generated for hardware version [`1.5.0.3`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
302 2 zero_gravi
 
303 44 zero_gravi
| CPU Configuration                        | LEs        | FFs      | Memory bits | DSPs | f_max   |
304
|:-----------------------------------------|:----------:|:--------:|:-----------:|:----:|:-------:|
305 45 zero_gravi
| `rv32i`                                  |       1190 |      512 |        1024 |    0 | 120 MHz |
306
| `rv32i`     + `u` + `Zicsr` + `Zifencei` |       1927 |      903 |        1024 |    0 | 123 MHz |
307
| `rv32im`    + `u` + `Zicsr` + `Zifencei` |       2471 |     1148 |        1024 |    0 | 120 MHz |
308
| `rv32imc`   + `u` + `Zicsr` + `Zifencei` |       2716 |     1165 |        1024 |    0 | 120 MHz |
309
| `rv32imac`  + `u` + `Zicsr` + `Zifencei` |       2736 |     1168 |        1024 |    0 | 120 MHz |
310 47 zero_gravi
| `rv32imacb` + `u` + `Zicsr` + `Zifencei` |       3045 |     1260 |        1024 |    0 | 116 MHz |
311 2 zero_gravi
 
312 39 zero_gravi
Setups with enabled "embedded CPU extension" `E` show the same LUT and FF utilization and identical f_max. However, the size of the register file is cut in half.
313 2 zero_gravi
 
314 39 zero_gravi
 
315 23 zero_gravi
### NEORV32 Processor-Internal Peripherals and Memories
316
 
317 45 zero_gravi
Results generated for hardware version [`1.5.0.3`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
318 11 zero_gravi
 
319 25 zero_gravi
| Module    | Description                                          | LEs | FFs | Memory bits | DSPs |
320 31 zero_gravi
|:----------|:-----------------------------------------------------|----:|----:|------------:|-----:|
321 37 zero_gravi
| BOOT ROM  | Bootloader ROM (default 4kB)                         |   3 |   1 |      32 768 |    0 |
322 40 zero_gravi
| BUSSWITCH | Mux for CPU I & D interfaces                         |  65 |   8 |           0 |    0 |
323 45 zero_gravi
| i-CACHE   | Proc.-int. nstruction cache (default 1x4x64 bytes)   | 234 | 156 |       8 192 |    0 |
324 47 zero_gravi
| CFS       | Custom functions subsystem                           |   - |   - |           - |    - |
325 39 zero_gravi
| DMEM      | Processor-internal data memory (default 8kB)         |   6 |   2 |      65 536 |    0 |
326 40 zero_gravi
| GPIO      | General purpose input/output ports                   |  67 |  65 |           0 |    0 |
327 39 zero_gravi
| IMEM      | Processor-internal instruction memory (default 16kb) |   6 |   2 |     131 072 |    0 |
328 40 zero_gravi
| MTIME     | Machine system timer                                 | 274 | 166 |           0 |    0 |
329 39 zero_gravi
| PWM       | Pulse-width modulation controller                    |  71 |  69 |           0 |    0 |
330 40 zero_gravi
| SPI       | Serial peripheral interface                          | 138 | 124 |           0 |    0 |
331
| SYSINFO   | System configuration information memory              |  11 |  10 |           0 |    0 |
332 31 zero_gravi
| TRNG      | True random number generator                         | 132 | 105 |           0 |    0 |
333 40 zero_gravi
| TWI       | Two-wire interface                                   |  77 |  46 |           0 |    0 |
334
| UART      | Universal asynchronous receiver/transmitter          | 176 | 132 |           0 |    0 |
335
| WDT       | Watchdog timer                                       |  60 |  45 |           0 |    0 |
336 39 zero_gravi
| WISHBONE  | External memory interface                            | 129 | 104 |           0 |    0 |
337 2 zero_gravi
 
338
 
339 23 zero_gravi
### NEORV32 Processor - Exemplary FPGA Setups
340 6 zero_gravi
 
341 47 zero_gravi
Exemplary processor implementation results for different FPGA platforms. The processor setup uses *the default peripheral configuration* (like no _CFS_ and no _TRNG_),
342 23 zero_gravi
no external memory interface and only internal instruction and data memories. IMEM uses 16kB and DMEM uses 8kB memory space. The setup's top entity connects most of the
343 11 zero_gravi
processor's [top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) signals
344 40 zero_gravi
to FPGA pins - except for the Wishbone bus and the interrupt signals. The "default" strategy of each toolchain is used.
345 6 zero_gravi
 
346 40 zero_gravi
Results generated for hardware version [`1.4.9.0`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
347 6 zero_gravi
 
348 40 zero_gravi
| Vendor  | FPGA                              | Board            | Toolchain                  | CPU Configuration                              | LUT / LE   | FF / REG   | DSP    | Memory Bits  | BRAM / EBR | SPRAM    | Frequency     |
349
|:--------|:----------------------------------|:-----------------|:---------------------------|:-----------------------------------------------|:-----------|:-----------|:-------|:-------------|:-----------|:---------|--------------:|
350
| Intel   | Cyclone IV `EP4CE22F17C6N`        | Terasic DE0-Nano | Quartus Prime Lite 20.1    | `rv32imc` + `u` + `Zicsr` + `Zifencei`         | 3813 (17%) | 1904  (8%) | 0 (0%) | 231424 (38%) |          - |        - |       119 MHz |
351
| Lattice | iCE40 UltraPlus `iCE40UP5K-SG48I` | Upduino v2.0     | Radiant 2.1 (Synplify Pro) | `rv32ic`  + `u` + `Zicsr` + `Zifencei`         | 4397 (83%) | 1679 (31%) | 0 (0%) |            - |   12 (40%) | 4 (100%) | *c* 22.15 MHz |
352
| Xilinx  | Artix-7 `XC7A35TICSG324-1L`       | Arty A7-35T      | Vivado 2019.2              | `rv32imc` + `u` + `Zicsr` + `Zifencei` + `PMP` | 2465 (12%) | 1912  (5%) | 0 (0%) |            - |    8 (16%) |        - |   *c* 100 MHz |
353 2 zero_gravi
 
354 23 zero_gravi
**_Notes_**
355 20 zero_gravi
* The Lattice iCE40 UltraPlus setup uses the FPGA's SPRAM memory primitives for the internal IMEM and DMEM (each 64kb).
356 12 zero_gravi
The FPGA-specific memory components can be found in [`rtl/fpga_specific`](https://github.com/stnolting/neorv32/blob/master/rtl/fpga_specific/lattice_ice40up).
357
* The clock frequencies marked with a "c" are constrained clocks. The remaining ones are _f_max_ results from the place and route timing reports.
358 11 zero_gravi
* The Upduino and the Arty board have on-board SPI flash memories for storing the FPGA configuration. These device can also be used by the default NEORV32
359
bootloader to store and automatically boot an application program after reset (both tested successfully).
360 40 zero_gravi
* The setups with `PMP` implement 2 regions with a minimal granularity of 64kB.
361 42 zero_gravi
* No HPM counters are implemented.
362 2 zero_gravi
 
363 22 zero_gravi
 
364
 
365 2 zero_gravi
## Performance
366
 
367
### CoreMark Benchmark
368
 
369
The [CoreMark CPU benchmark](https://www.eembc.org/coremark) was executed on the NEORV32 and is available in the
370
[sw/example/coremark](https://github.com/stnolting/neorv32/blob/master/sw/example/coremark) project folder. This benchmark
371
tests the capabilities of a CPU itself rather than the functions provided by the whole system / SoC.
372
 
373
~~~
374
**Configuration**
375 45 zero_gravi
Hardware:       32kB IMEM, 16kB DMEM, no caches, 100MHz clock
376 38 zero_gravi
CoreMark:       2000 iterations, MEM_METHOD is MEM_STACK
377
Compiler:       RISCV32-GCC 10.1.0 (rv32i toolchain)
378
Compiler flags: default, see makefile
379
Peripherals:    UART for printing the results
380 2 zero_gravi
~~~
381
 
382 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
383
 
384
| CPU (including `Zicsr`)                     | Executable Size | Optimization | CoreMark Score | CoreMarks/MHz |
385 34 zero_gravi
|:--------------------------------------------|:---------------:|:------------:|:--------------:|:-------------:|
386 42 zero_gravi
| `rv32i`                                     |    28 756 bytes |        `-O3` |          36.36 |    **0.3636** |
387
| `rv32im`                                    |    27 516 bytes |        `-O3` |          68.97 |    **0.6897** |
388
| `rv32imc`                                   |    22 008 bytes |        `-O3` |          68.97 |    **0.6897** |
389
| `rv32imc` + `FAST_MUL_EN`                   |    22 008 bytes |        `-O3` |          86.96 |    **0.8696** |
390
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |    22 008 bytes |        `-O3` |          90.91 |    **0.9091** |
391 2 zero_gravi
 
392 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
393
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
394 2 zero_gravi
 
395 31 zero_gravi
When the `C` extension is enabled, branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
396 22 zero_gravi
 
397 34 zero_gravi
 
398 2 zero_gravi
### Instruction Cycles
399
 
400 11 zero_gravi
The NEORV32 CPU is based on a two-stages pipelined architecutre. Each stage uses a multi-cycle processing scheme. Hence,
401 9 zero_gravi
each instruction requires several clock cycles to execute (2 cycles for ALU operations, ..., 40 cycles for divisions).
402
The average CPI (cycles per instruction) depends on the instruction mix of a specific applications and also on the available
403 42 zero_gravi
CPU extensions. *By default* the CPU-internal shifter (e.g. for the `SLL` instruction) as well as the multiplier and divider of the
404 2 zero_gravi
`M` extension use a bit-serial approach and require several cycles for completion.
405
 
406 6 zero_gravi
The following table shows the performance results for successfully running 2000 CoreMark
407 9 zero_gravi
iterations, which reflects a pretty good "real-life" work load. The average CPI is computed by
408 12 zero_gravi
dividing the total number of required clock cycles (only the timed core to avoid distortion due to IO wait cycles; sampled via the `cycle[h]` CSRs)
409 19 zero_gravi
by the number of executed instructions (`instret[h]` CSRs). The executables were generated using optimization `-O3`.
410 2 zero_gravi
 
411 42 zero_gravi
Results generated for hardware version [`1.4.9.8`](https://github.com/stnolting/neorv32/blob/master/CHANGELOG.md).
412 2 zero_gravi
 
413 42 zero_gravi
| CPU  (including `Zicsr`)                    | Required Clock Cycles | Executed Instructions | Average CPI |
414 34 zero_gravi
|:--------------------------------------------|----------------------:|----------------------:|:-----------:|
415 42 zero_gravi
| `rv32i`                                     |         5 595 750 503 |         1 466 028 607 |    **3.82** |
416
| `rv32im`                                    |         2 966 086 503 |           598 651 143 |    **4.95** |
417
| `rv32imc`                                   |         2 981 786 734 |           611 814 918 |    **4.87** |
418
| `rv32imc` + `FAST_MUL_EN`                   |         2 399 234 734 |           611 814 918 |    **3.92** |
419
| `rv32imc` + `FAST_MUL_EN` + `FAST_SHIFT_EN` |         2 265 135 174 |           611 814 948 |    **3.70** |
420 2 zero_gravi
 
421 34 zero_gravi
The `FAST_MUL_EN` configuration uses DSPs for the multiplier of the `M` extension (enabled via the `FAST_MUL_EN` generic). The `FAST_SHIFT_EN` configuration
422
uses a barrel shifter for CPU shift operations (enabled via the `FAST_SHIFT_EN` generic).
423
 
424 36 zero_gravi
When the `C` extension is enabled branches to an unaligned uncompressed instruction require additional instruction fetch cycles.
425 12 zero_gravi
 
426 22 zero_gravi
 
427 31 zero_gravi
 
428 14 zero_gravi
## Top Entities
429 2 zero_gravi
 
430 36 zero_gravi
The top entity of the **NEORV32 Processor** (SoC) is [`rtl/core/neorv32_top.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd).
431 2 zero_gravi
 
432 36 zero_gravi
All signals of the top entity are of type *std_ulogic* or *std_ulogic_vector*, respectively
433 34 zero_gravi
(except for the processor's TWI signals, which are of type *std_logic*). Leave all unused output ports unconnected (`open`) and tie all unused
434
input ports to zero (`'0'` or `(others => '0')`, respectively).
435 14 zero_gravi
 
436 36 zero_gravi
Use the top's generics to configure the system according to your needs. Each generic is initilized with the default configuration.
437 34 zero_gravi
Detailed information regarding the interface signals and configuration generics can be found in
438 40 zero_gravi
the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf) (pdf).
439 22 zero_gravi
 
440 23 zero_gravi
 
441 36 zero_gravi
### Using the CPU in Stand-Alone Mode
442 23 zero_gravi
 
443 47 zero_gravi
If you *do not* want to use the NEORV32 processor setup, you can also use the CPU in stand-alone mode and build your own system around it.
444 36 zero_gravi
The top entity of the stand-alone **NEORV32 CPU** is [`rtl/core/neorv32_cpu.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_cpu.vhd).
445
Note that the CPU uses a proprietary interface for accessing data and instruction memory. More information can be found in the
446 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
447 14 zero_gravi
 
448 47 zero_gravi
:information_source: It is recommended to use the processor setup even if you only want to use the CPU. Simply disable all the processor-internal modules via the generics
449
and you will get a "CPU wrapper" that already provides a minimal CPU environment and an external memory interface (like AXI4). This setup also allows to further use the default
450
bootloader and software framework. From this base you can start building your own processor system.
451 2 zero_gravi
 
452 36 zero_gravi
 
453
### Alternative Top Entities
454
 
455
*Alternative top entities*, like the simplified ["hello world" test setup](#Create-a-new-Hardware-Project) or CPU/Processor
456
wrappers with resolved port signal types (i.e. *std_logic*), can be found in [`rtl/top_templates`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates).
457
 
458
 
459 35 zero_gravi
### AXI4 Connectivity
460 22 zero_gravi
 
461 35 zero_gravi
Via the [`rtl/top_templates/neorv32_top_axi4lite.vhd`](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_top_axi4lite.vhd)
462
wrapper the NEORV32 provides an **AXI4-Lite** compatible master interface. This wrapper instantiates the default
463
[NEORV32 processor top entitiy](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) and implements a Wishbone to AXI4-Lite bridge.
464 2 zero_gravi
 
465 35 zero_gravi
The AXI4-Lite interface has been tested using Xilinx Vivado 19.2 block designer:
466
 
467
![AXI-SoC](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_axi_soc.png)
468
 
469
The processor was packed as custom IP using `neorv32_top_axi4lite.vhd` as top entity. The AXI interface is automatically detected by the packager.
470
All remaining IO interfaces are available as custom signals. The configuration generics are available via the "customize IP" dialog.
471
In the figure above the resulting IP block is named "neorv32_top_axi4lite_v1_0".
472
*(Note: Use Syntheiss option "global" when generating the block design to maintain the internal TWI tri-state drivers.)*
473
 
474
The setup uses an AXI interconnect to attach two block RAMs to the processor. Since the processor in this example is configured *without* IMEM and DMEM,
475
the attached block RAMs are used for storing instructions and data: the first RAM is used as instruction memory
476
and is mapped to address `0x00000000 - 0x00003fff` (16kB), the second RAM is used as data memory and is mapped to address `0x80000000 - 0x80001fff` (8kB).
477
 
478
 
479
 
480 2 zero_gravi
## Getting Started
481
 
482
This overview is just a short excerpt from the *Let's Get It Started* section of the NEORV32 documentary:
483
 
484 40 zero_gravi
[:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf)
485 2 zero_gravi
 
486
 
487 14 zero_gravi
### Toolchain
488 2 zero_gravi
 
489
At first you need the **RISC-V GCC toolchain**. You can either [download the sources](https://github.com/riscv/riscv-gnu-toolchain)
490
and build the toolchain by yourself, or you can download a prebuilt one and install it.
491
 
492 23 zero_gravi
To build the toolchain by yourself, follow the official [build instructions](https://github.com/riscv/riscv-gnu-toolchain).
493 14 zero_gravi
Make sure to use the `ilp32` or `ilp32e` ABI.
494 2 zero_gravi
 
495 15 zero_gravi
**Alternatively**, you can download a prebuilt toolchain. I have uploaded the toolchains I am using to GitHub. These toolchains
496 40 zero_gravi
were compiled on a 64-bit x86 Ubuntu 20.04 LTS (Ubuntu on Windows, actually). Download the toolchain of choice:
497 46 zero_gravi
[:octocat: github.com/stnolting/riscv-gcc-prebuilt](https://github.com/stnolting/riscv-gcc-prebuilt)
498 2 zero_gravi
 
499 45 zero_gravi
You can also use the toolchains provided by [SiFive](https://github.com/sifive/freedom-tools/releases). These are 64-bit toolchains that can also emit 32-bit
500
RISC-V code. They were compiled for more sophisticated machines (`imac`) so the according hardware extensions are *mandatory*
501 2 zero_gravi
 
502 45 zero_gravi
:warning: Keep in mind that – for instance – a `rv32imc` toolchain only provides library code compiled with compressed and
503
`mul`/`div` instructions! Hence, this code cannot be executed (without emulation) on an architecture without these extensions!
504
 
505
 
506 22 zero_gravi
### Dowload the NEORV32 Project
507 2 zero_gravi
 
508 23 zero_gravi
Get the sources of the NEORV32 Processor project. The simplest way is using `git clone` (suggested for easy project updates via `git pull`):
509 12 zero_gravi
 
510 2 zero_gravi
    $ git clone https://github.com/stnolting/neorv32.git
511
 
512 23 zero_gravi
Alternatively, you can either download a specific [release](https://github.com/stnolting/neorv32/releases) or get the most recent version
513
of this project as [`*.zip` file](https://github.com/stnolting/neorv32/archive/master.zip).
514 2 zero_gravi
 
515 22 zero_gravi
 
516
### Create a new Hardware Project
517
 
518 23 zero_gravi
Create a new project with your FPGA design tool of choice. Add all the `*.vhd` files from the [`rtl/core`](https://github.com/stnolting/neorv32/blob/master/rtl)
519
folder to this project. Make sure to add these files to a **new design library** called `neorv32`.
520
 
521 40 zero_gravi
You can either instantiate the [processor's top entity](https://github.com/stnolting/neorv32/blob/master/rtl/core/neorv32_top.vhd) or one of its
522 36 zero_gravi
[wrappers](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates) in your own project. If you just want to try out the processor,
523
you can use the simple [test setup](https://github.com/stnolting/neorv32/blob/master/rtl/top_templates/neorv32_test_setup.vhd) as top entity.
524 2 zero_gravi
 
525 40 zero_gravi
![neorv32 test setup](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/neorv32_test_setup.png)
526
 
527
 
528 33 zero_gravi
This test setup instantiates the processor and implements most of the peripherals and some ISA extensions. Only the UART lines, clock, reset and some GPIO output signals are
529 25 zero_gravi
propagated as actual entity signals. Basically, it is a FPGA "hello world" example:
530 23 zero_gravi
 
531 2 zero_gravi
```vhdl
532 9 zero_gravi
  entity neorv32_test_setup is
533
    port (
534
      -- Global control --
535
      clk_i      : in  std_ulogic := '0'; -- global clock, rising edge
536
      rstn_i     : in  std_ulogic := '0'; -- global reset, low-active, async
537
      -- GPIO --
538
      gpio_o     : out std_ulogic_vector(7 downto 0); -- parallel output
539
      -- UART --
540
      uart_txd_o : out std_ulogic; -- UART send data
541
      uart_rxd_i : in  std_ulogic := '0' -- UART receive data
542
    );
543
  end neorv32_test_setup;
544 2 zero_gravi
```
545
 
546
 
547 23 zero_gravi
### Check the Toolchain
548 2 zero_gravi
 
549 11 zero_gravi
Make sure `GNU Make` and a native `GCC` compiler are installed. To test the installation of the RISC-V toolchain navigate to an example project like
550 2 zero_gravi
`sw/example/blink_led` and run:
551
 
552
    neorv32/sw/example/blink_led$ make check
553
 
554 23 zero_gravi
 
555
### Compiling an Example Program
556
 
557 9 zero_gravi
The NEORV32 project includes some [example programs](https://github.com/stnolting/neorv32/tree/master/sw/example) from
558
which you can start your own application. Simply compile one of these projects. This will create a NEORV32
559 23 zero_gravi
*executable* `neorv32_exe.bin` in the same folder:
560 2 zero_gravi
 
561 23 zero_gravi
    neorv32/sw/example/blink_led$ make clean_all exe
562 2 zero_gravi
 
563 23 zero_gravi
 
564
### Upload the Executable via the Bootloader
565
 
566 34 zero_gravi
You can upload a generated executable directly from the command line using the makefile's `upload` target. Replace `/dev/ttyUSB0` with
567
the according serial port.
568
 
569
    sw/exeample/blink_example$ make COM_PORT=/dev/ttyUSB0` upload
570
 
571
A more "secure" way is to use a dedicated terminal program. This allows to directly interact with the bootloader console.
572 23 zero_gravi
Connect your FPGA board via UART to your computer and open the according port to interface with the NEORV32 bootloader. The bootloader
573 2 zero_gravi
uses the following default UART configuration:
574
 
575 32 zero_gravi
* 19200 Baud
576
* 8 data bits
577
* 1 stop bit
578
* No parity bits
579
* No transmission / flow control protocol (raw bytes only)
580
* Newline on `\r\n` (carriage return & newline) - also for sent data
581 2 zero_gravi
 
582 23 zero_gravi
Use the bootloader console to upload the `neorv32_exe.bin` executable and run your application image.
583 2 zero_gravi
 
584 9 zero_gravi
```
585 43 zero_gravi
<< NEORV32 Bootloader >>
586
 
587
BLDV: Nov  7 2020
588
HWV:  0x01040606
589
CLK:  0x0134FD90 Hz
590
USER: 0x0001CE40
591
MISA: 0x42801104
592
PROC: 0x03FF0035
593
IMEM: 0x00010000 bytes @ 0x00000000
594
DMEM: 0x00010000 bytes @ 0x80000000
595
 
596
Autoboot in 8s. Press key to abort.
597
Aborted.
598
 
599
Available CMDs:
600
 h: Help
601
 r: Restart
602
 u: Upload
603
 s: Store to flash
604
 l: Load from flash
605
 e: Execute
606
CMD:> u
607
Awaiting neorv32_exe.bin... OK
608
CMD:> e
609
Booting...
610
 
611
Blinking LED demo program
612 9 zero_gravi
```
613 2 zero_gravi
 
614 40 zero_gravi
Going further: Take a look at the _Let's Get It Started!_ chapter of the [:page_facing_up: NEORV32 data sheet](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/NEORV32.pdf).
615 2 zero_gravi
 
616
 
617
 
618 40 zero_gravi
## Contribute/Feedback/Questions
619 2 zero_gravi
 
620 9 zero_gravi
I'm always thankful for help! So if you have any questions, bug reports, ideas or if you want to give some kind of feedback, feel free
621 40 zero_gravi
to [:bulb: open a new issue](https://github.com/stnolting/neorv32/issues), start a new [:sparkles: discussion on GitHub](https://github.com/stnolting/neorv32/discussions)
622
or directly [:e-mail: drop me a line](mailto:stnolting@gmail.com).
623 2 zero_gravi
 
624 40 zero_gravi
If you'd like to directly contribute to this repository:
625 22 zero_gravi
 
626 40 zero_gravi
0. :star: this repository ;)
627
1. Check out the project's [code of conduct](https://github.com/stnolting/neorv32/tree/master/CODE_OF_CONDUCT.md)
628
2. [Fork](https://github.com/stnolting/neorv32/fork) this repository and clone the fork
629
3. Create a feature branch in your fork: `git checkout -b awesome_new_feature_branch`
630
4. Create a new remote for the upstream repo: `git remote add upstream https://github.com/stnolting/neorv32`
631
5. Commit your modifications: `git commit -m "Awesome new feature!"`
632
6. Push to the branch: `git push origin awesome_new_feature_branch`
633
7. Create a new [pull request](https://github.com/stnolting/neorv32/pulls)
634 2 zero_gravi
 
635 40 zero_gravi
 
636 11 zero_gravi
## Legal
637 2 zero_gravi
 
638 12 zero_gravi
This project is released under the BSD 3-Clause license. No copyright infringement intended.
639 11 zero_gravi
Other implied or used projects might have different licensing - see their documentation to get more information.
640
 
641 37 zero_gravi
#### Citing
642 11 zero_gravi
 
643 34 zero_gravi
If you are using the NEORV32 or some parts of the project in some kind of publication, please cite it as follows:
644 2 zero_gravi
 
645 34 zero_gravi
> S. Nolting, "The NEORV32 Processor", github.com/stnolting/neorv32
646 2 zero_gravi
 
647 9 zero_gravi
#### BSD 3-Clause License
648 2 zero_gravi
 
649 42 zero_gravi
Copyright (c) 2021, Stephan Nolting. All rights reserved.
650 2 zero_gravi
 
651
Redistribution and use in source and binary forms, with or without modification, are
652
permitted provided that the following conditions are met:
653
 
654
1. Redistributions of source code must retain the above copyright notice, this list of
655
conditions and the following disclaimer.
656
2. Redistributions in binary form must reproduce the above copyright notice, this list of
657
conditions and the following disclaimer in the documentation and/or other materials
658
provided with the distribution.
659
3. Neither the name of the copyright holder nor the names of its contributors may be used to
660
endorse or promote products derived from this software without specific prior written
661
permission.
662
 
663
THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS
664
OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
665
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
666
COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
667
EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE
668
GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
669
AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING
670
NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
671
OF THE POSSIBILITY OF SUCH DAMAGE.
672
 
673
 
674 9 zero_gravi
#### Limitation of Liability for External Links
675
 
676 36 zero_gravi
Our website contains links to the websites of third parties ("external links"). As the
677 9 zero_gravi
content of these websites is not under our control, we cannot assume any liability for
678
such external content. In all cases, the provider of information of the linked websites
679
is liable for the content and accuracy of the information provided. At the point in time
680
when the links were placed, no infringements of the law were recognisable to us. As soon
681
as an infringement of the law becomes known to us, we will immediately remove the
682
link in question.
683
 
684
 
685 11 zero_gravi
#### Proprietary  Notice
686 9 zero_gravi
 
687 2 zero_gravi
"Artix" and "Vivado" are trademarks of Xilinx Inc.
688
 
689 45 zero_gravi
"Cyclone" and "Quartus Prime Lite" are trademarks of Intel Corporation.
690 2 zero_gravi
 
691 35 zero_gravi
"iCE40", "UltraPlus" and "Radiant" are trademarks of Lattice Semiconductor Corporation.
692 11 zero_gravi
 
693 35 zero_gravi
"AXI", "AXI4" and "AXI4-Lite" are trademarks of Arm Holdings plc.
694 2 zero_gravi
 
695
 
696
 
697 18 zero_gravi
## Acknowledgements
698 9 zero_gravi
 
699 18 zero_gravi
[![RISC-V](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/riscv_logo.png)](https://riscv.org/)
700
 
701 23 zero_gravi
[RISC-V](https://riscv.org/) - Instruction Sets Want To Be Free!
702 11 zero_gravi
 
703 43 zero_gravi
Continous integration provided by [:octocat: GitHub Actions](https://github.com/features/actions) and powered by [GHDL](https://github.com/ghdl/ghdl).
704 2 zero_gravi
 
705
![Open Source Hardware Logo https://www.oshwa.org](https://raw.githubusercontent.com/stnolting/neorv32/master/docs/figures/oshw_logo.png)
706
 
707
This project is not affiliated with or endorsed by the Open Source Initiative (https://www.oshwa.org / https://opensource.org).
708
 
709 32 zero_gravi
--------
710 2 zero_gravi
 
711 36 zero_gravi
Made with :coffee: in Hannover, Germany :eu:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.